VHDL数字电路设计教程

VHDL数字电路设计教程
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者: [巴西] (Volnei A. Pedroni)
2013-01
版次: 1
ISBN: 9787121186721
定价: 35.00
装帧: 平装
开本: 16开
纸张: 其他
页数: 300页
字数: 441千字
正文语种: 简体中文
分类: 工程技术
297人买过
  • 自从VHDL在1987年成为IEEE标准之后,就因其在电路模型建立、仿真、综合等方面的强大功能而被广泛用于复杂数字逻辑电路的设计中。佩德罗尼编著的《VHDL数字电路设计教程》共分为三个基本组成部分,首先详细介绍VHDL语言的背景知识、基本语法结构和VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元库中,以便于进行代码的分割、共享和重用;最后介绍CPLD和FPGA的发展历史、主流厂商提供的开发环境使用方法。本书在结构组织上有独特之处,例如将并发描述语句、顺序描述语句、数据类型与运算操作符和属性等独立成章,使读者更容易清晰准确地掌握这些重要内容。本书注重设计实践,给出了大量完整设计实例的电路图、相关基本概念、电路工作原理以及仿真结果,从而将VHDL语法学习和如何采用它进行电路设计有机地结合在一起。
    《VHDL数字电路设计教程》适合通信工程、电子工程及相关专业的高年级本科生作为教材使用,同时也可以作为可编程逻辑器件应用开发的培训教材。 第一部分电路设计

    第1章引言2

    1.1关于VHDL2

    1.2设计流程2

    1.3EDA工具3

    1.4从VHDL代码到电路的转化4

    1.5设计实例6

    第2章VHDL代码结构9

    2.1VHDL代码基本单元9

    2.2库声明10

    2.3实体11

    2.4构造体12

    2.5例题13

    2.6习题16

    第3章数据类型19

    3.1预定义的数据类型19

    3.2用户定义的数据类型22

    3.3子类型23

    3.4数组24

    3.5端口数组26

    3.6记录类型27

    3.7有符号数和无符号数28

    3.8数据类型转换29

    3.9小结30

    3.10例题31

    3.11习题35

    第4章运算操作符和属性37

    4.1运算操作符37

    4.2属性40

    4.3用户自定义属性42

    4.4操作符扩展43

    4.5通用属性语句43

    4.6设计实例44

    4.7小结48

    4.8习题49

    第5章并发代码51

    5.1并发执行和顺序执行51

    5.2使用运算操作符53

    5.3WHEN语句54

    5.4GENERATE语句63

    5.5块语句65

    5.6习题68

    第6章顺序代码72

    6.1进程72

    6.2信号和变量74

    6.3IF语句74

    6.4WAIT语句78

    6.5CASE语句80

    6.6LOOP语句84

    6.7CASE语句和IF语句的比较91

    6.8CASE语句和WHEN语句的比较91

    6.9同步时序电路中的时钟问题92

    6.10使用顺序代码设计组合逻辑电路96

    6.11习题98

    第7章信号和变量103

    7.1常量103

    7.2信号103

    7.3变量105

    7.4信号和变量的比较106

    7.5寄存器的数量112

    7.6习题121

    第8章状态机128

    8.1引言128

    8.2设计风格#1129

    8.3设计风格#2136

    8.4状态机编码风格:二进制编码和独热编码149

    8.5习题150

    第9章典型电路设计分析153

    9.1桶形移位寄存器153

    9.2有符号数比较器和无符号数比较器156

    9.3逐级进位和超前进位加法器159

    9.4定点除法162

    9.5自动售货机控制器166

    9.6串行数据接收器171

    9.7并/串变换器173

    9.8一个7段显示器的应用例题175

    9.9信号发生器178

    9.10存储器设计181

    9.11习题186

    第二部分系统设计

    第10章包集和元件192

    10.1概述192

    10.2包集193

    10.3元件195

    10.4端口映射201

    10.5GENERIC参数的映射202

    10.6习题208

    第11章函数和过程209

    11.1函数209

    11.2函数的存放211

    11.3过程219

    11.4过程的存放221

    11.5函数与过程小结224

    11.6断言语句224

    11.7习题224

    第12章系统设计实例分析226

    12.1串-并型乘法器226

    12.2并行乘法器230

    12.3乘-累加电路235

    12.4数字滤波器238

    12.5神经网络243

    12.6习题249

    附录A可编程逻辑器件251

    附录BXilinxISE和ModelSim使用指南259

    附录CAlteraMaxPlusII和AdvancedSynthesisSoftware使用指南267

    附录DAlteraQuartusII使用指南277

    VHDL保留字285

    参考文献286
  • 内容简介:
    自从VHDL在1987年成为IEEE标准之后,就因其在电路模型建立、仿真、综合等方面的强大功能而被广泛用于复杂数字逻辑电路的设计中。佩德罗尼编著的《VHDL数字电路设计教程》共分为三个基本组成部分,首先详细介绍VHDL语言的背景知识、基本语法结构和VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元库中,以便于进行代码的分割、共享和重用;最后介绍CPLD和FPGA的发展历史、主流厂商提供的开发环境使用方法。本书在结构组织上有独特之处,例如将并发描述语句、顺序描述语句、数据类型与运算操作符和属性等独立成章,使读者更容易清晰准确地掌握这些重要内容。本书注重设计实践,给出了大量完整设计实例的电路图、相关基本概念、电路工作原理以及仿真结果,从而将VHDL语法学习和如何采用它进行电路设计有机地结合在一起。
    《VHDL数字电路设计教程》适合通信工程、电子工程及相关专业的高年级本科生作为教材使用,同时也可以作为可编程逻辑器件应用开发的培训教材。
  • 目录:
    第一部分电路设计

    第1章引言2

    1.1关于VHDL2

    1.2设计流程2

    1.3EDA工具3

    1.4从VHDL代码到电路的转化4

    1.5设计实例6

    第2章VHDL代码结构9

    2.1VHDL代码基本单元9

    2.2库声明10

    2.3实体11

    2.4构造体12

    2.5例题13

    2.6习题16

    第3章数据类型19

    3.1预定义的数据类型19

    3.2用户定义的数据类型22

    3.3子类型23

    3.4数组24

    3.5端口数组26

    3.6记录类型27

    3.7有符号数和无符号数28

    3.8数据类型转换29

    3.9小结30

    3.10例题31

    3.11习题35

    第4章运算操作符和属性37

    4.1运算操作符37

    4.2属性40

    4.3用户自定义属性42

    4.4操作符扩展43

    4.5通用属性语句43

    4.6设计实例44

    4.7小结48

    4.8习题49

    第5章并发代码51

    5.1并发执行和顺序执行51

    5.2使用运算操作符53

    5.3WHEN语句54

    5.4GENERATE语句63

    5.5块语句65

    5.6习题68

    第6章顺序代码72

    6.1进程72

    6.2信号和变量74

    6.3IF语句74

    6.4WAIT语句78

    6.5CASE语句80

    6.6LOOP语句84

    6.7CASE语句和IF语句的比较91

    6.8CASE语句和WHEN语句的比较91

    6.9同步时序电路中的时钟问题92

    6.10使用顺序代码设计组合逻辑电路96

    6.11习题98

    第7章信号和变量103

    7.1常量103

    7.2信号103

    7.3变量105

    7.4信号和变量的比较106

    7.5寄存器的数量112

    7.6习题121

    第8章状态机128

    8.1引言128

    8.2设计风格#1129

    8.3设计风格#2136

    8.4状态机编码风格:二进制编码和独热编码149

    8.5习题150

    第9章典型电路设计分析153

    9.1桶形移位寄存器153

    9.2有符号数比较器和无符号数比较器156

    9.3逐级进位和超前进位加法器159

    9.4定点除法162

    9.5自动售货机控制器166

    9.6串行数据接收器171

    9.7并/串变换器173

    9.8一个7段显示器的应用例题175

    9.9信号发生器178

    9.10存储器设计181

    9.11习题186

    第二部分系统设计

    第10章包集和元件192

    10.1概述192

    10.2包集193

    10.3元件195

    10.4端口映射201

    10.5GENERIC参数的映射202

    10.6习题208

    第11章函数和过程209

    11.1函数209

    11.2函数的存放211

    11.3过程219

    11.4过程的存放221

    11.5函数与过程小结224

    11.6断言语句224

    11.7习题224

    第12章系统设计实例分析226

    12.1串-并型乘法器226

    12.2并行乘法器230

    12.3乘-累加电路235

    12.4数字滤波器238

    12.5神经网络243

    12.6习题249

    附录A可编程逻辑器件251

    附录BXilinxISE和ModelSim使用指南259

    附录CAlteraMaxPlusII和AdvancedSynthesisSoftware使用指南267

    附录DAlteraQuartusII使用指南277

    VHDL保留字285

    参考文献286
查看详情
系列丛书 / 更多
VHDL数字电路设计教程
数字图像处理(第三版)
阮秋琦 译
VHDL数字电路设计教程
数字图像处理(第三版)(英文版)
[美]Rafael、C.、Gonzalez(拉斐尔,C.,冈萨雷斯) 著;Rafael、C.、Gonzalez(拉斐尔,C.,冈萨雷斯) 译
VHDL数字电路设计教程
国外电子与通信教材系列:数字图像处理(MATLAB版)(第2版)(本科教学版)
[美]R.C.冈萨雷斯(Rafael C. Gonzalez)、R.E.伍兹(Richard E. Woods)、S.L.艾丁斯(Steven L. Eddins) 著;阮秋琦 译
VHDL数字电路设计教程
数字电子技术(第十一版)(英文版)
[美]Thomas L.Floyd 著
VHDL数字电路设计教程
数字电子技术(第10版)(英文版)
[美]Thomas L. Floyd 著;余璆 改编
VHDL数字电路设计教程
数字图像处理(第三版)
阮秋琦 译
VHDL数字电路设计教程
国外电子与通信教材系列:信号与系统(第二版)
[美]S.Hamid Nawab 著;刘树棠 译
VHDL数字电路设计教程
国外电子与通信教材系列:数字电子技术(第10版)
[美]Thomas L. Floyd 著;余璆 译
VHDL数字电路设计教程
信号与系统(第二版 英文版)
[美]Alan V.(艾伦 V.奥本海姆)、Alan(艾伦 S.威尔斯基)、S.Hamid Nawab(S.哈米得 纳瓦卜) 著
VHDL数字电路设计教程
LabVIEW大学实用教程(第三版)
[美]J.(Jeffrey Travis)、[美]J. 克林(Jim Kring) 著;乔瑞萍 译
VHDL数字电路设计教程
数字信号处理实验指导书(MATLAB版)/国外电子与通信教材系列
[美]Sanjit K.Mitra 著;孙洪、余翔宇 译
VHDL数字电路设计教程
国外电子与通信教材系列:离散时间信号处理(第3版)
[美]艾伦·V.奥本海姆(Alan V.Oppenheim)、Ronaid W.Schafer 著;黄建国、刘树棠、张国梅 译
您可能感兴趣 / 更多
VHDL数字电路设计教程
法律分析向何处去?
[巴西]罗伯托·曼戈贝拉·昂格尔著;李诚予译
VHDL数字电路设计教程
本塔奶奶讲科学课
[巴西]洛巴托 著;李梅编译 猫十六绘
VHDL数字电路设计教程
甲骨文丛书·巴西:一部传记
[巴西]莉利亚·莫里茨·施瓦茨;[巴西]埃洛伊萨·穆尔热尔·斯塔林
VHDL数字电路设计教程
神箭手(《牧羊少年奇幻之旅》作者暌违五年新作 致迷茫中仍想追梦的你)
[巴西]保罗·柯艾略 著;新经典 出品
VHDL数字电路设计教程
后历史:二十篇短文与一种使用方法
[巴西]威廉·弗卢塞尔
VHDL数字电路设计教程
隐蔽的宇宙:探索人与自然和谐共生的未来
[巴西]亚历山大·安东内利(Alexandre Antonelli)
VHDL数字电路设计教程
经鼻内镜颅底与脑外科手术学: 手术解剖与临床应用
[巴西]奥尔多·C.施塔姆(Aldo C. Stamm)
VHDL数字电路设计教程
乳房肿瘤整形与再造(原书第2版)
[巴西]Cicero Urban(意) Mario Rietjens(美) Mahmoud El-Tamer(美) Virgilio S. Sacchini
VHDL数字电路设计教程
脑机革命
[巴西]米格尔·尼科莱利斯 湛庐文化 出品
VHDL数字电路设计教程
血染须髯 (科马克·麦卡锡衣钵传人长篇代表作,堪与博尔赫斯《南方》互文的惊艳之书)
[巴西]丹尼尔·加莱拉
VHDL数字电路设计教程
维塔:社会遗弃下的疯癫与文明
[巴西]若昂·比尔 著;杨晓琼 译;[丹麦]托本·埃斯科罗德 摄影
VHDL数字电路设计教程
即食生乳及其制品
[巴西]安东尼奥·费尔南德斯·德·卡瓦略 著;王加启 译;[巴西]路易斯·奥古斯托·尼罗