EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材

EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者: 编著 , 编著
出版社: 科学出版社
2010-06
版次: 4
ISBN: 9787030276797
定价: 39.00
装帧: 平装
开本: 16开
纸张: 胶版纸
页数: 415页
正文语种: 简体中文
45人买过
  •     《EDA技术实用教程:VHDL版(第4版)》根据课堂教学和实验操作的要求,以提高实际工程设计能为目的,深入浅出地对EDA技术、VHDL硬件描述语言、FPGA开发用及相关知识作了系统和完整的介绍,读者通过学习《EDA技术实用教程:VHDL版(第4版)》并完推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。
        全包括EDA的基本知识、常用EDA工具的使用方法和目标器件的结原理、以情景导向形式和实例为主的方法介绍的多种不同的设输入方法、对VHDL的设计优化以及基于EDA技术的典型设计项目各章都安排了习题和针对性较强的实验与设计项目。书中列举大部分VHDL设计实例和实验示例实现的EDA工具平台是Quartus9.0,硬件平台是CycloneⅢ系列FPGA,并在EDA实验系统上通过硬件测试。
        《EDA技术实用教程:VHDL版(第4版)》可作为高等院校电子工程、通信、工业自动化计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理学科的本科生或研究生的电子设计、EDA技术和VHDL硬件描述语的教材及实验指导书,同时也可作为相关专业技术人员的自学考书。 第1章 概述
    1.1 EDA技术及其发展
    1.2 EDA技术实现目标
    1.3 硬件描述语言
    1.4 HDL综合
    1.5 基于HDL的自顶向下设计方法
    1.6 EDA技术的优势
    1.7 EDA设计流程
    1.7.1 设计输入(原理图/HDL文本编辑)
    1.7.2 综合
    1.7.3 适配
    1.7.4 时序仿真与功能仿真
    1.7.5 编程下载
    1.7.6 硬件测试
    1.8 ASIC及其设计流程
    1.8.1 ASIC设计简介
    1.8.2 ASIC设计一般流程简述
    1.9 常用EDA工具
    1.9.1 设计输入编辑器
    1.9.HDL综合器
    1.9.3 仿真器
    1.9.4 适配器
    1.9.5 下载器
    1.10 Quartus II简介
    1.11 IP核
    1.12 EDA技术发展趋势
    习题

    第2章 FPGA/CPLD结构原理
    2.1 概述
    2.1.1 PLD的发展历程
    2.1.2 PLD分类
    2.2 简单PLD结构原理
    2.2.1 逻辑元件符号表示
    2.2.2 PROM结构原理
    2.2.3 PLA结构原理
    2.2.4 PAL结构原理
    2.2.5 GAL结构原理
    2.3 CPLD的结构及其工作原理
    2.4 FPGA的结构及其工作原理
    2.4.1 查找表逻辑结构
    2.4.2 Cyclone III系列器件的结构与原理
    2.5 硬件测试
    2.5.1 内部逻辑测试
    2.5.2.FlAG边界扫描测试
    2.5.3 嵌入式逻辑分析仪
    2.6 PLD产品概述
    2.6.1 Lattice公司的PLD器件
    2.6.2 Xilinx公司的PLD器件
    2.6.3.Altera公司的PLD器件
    2.6.4.Actel公司的PLD器件
    2.6.5 Altera的FPGA配置方式
    2.7 CPLD/FPGA的编程与配置
    2.7.1 CPLD在系统编程
    2.7.2 FPGA配置方式
    2.7.3 FPGA专用配置器件
    2.7.4 使用单片机配置FPGA
    2.7.5 使用CPLD配置FPGA
    习题

    第3章 VHDL设计初步
    3.1 组合电路的VHDL描述
    3.1.1 2选1多路选择器及其VHDL描述1
    3.1.2 2选1多路选择器及其VHDL描述2
    3.1.3 2选1多路选择器及其VHDL描述3
    3.1.4 半加器及其VHDL的描述
    3.1.5 1位二进制全加器及其VHDL描述
    3.1.6 VHDL例化语句
    3.2 基本时序电路的VHDL描述
    3.2.1 D触发器的VHDL描述
    3.2.2 VHDL实现时序电路的不同表述
    3.2.3 异步时序电路设计
    3.3 计数器的VHDL设计
    3.3.1 4位二进制加法计数器设计
    3.3.2 整数类型
    3.3.3 计数器的其他VHDL表达方式
    3.4 实用计数器的VHDL设计
    习题

    第4章 Quartus II应用向导
    4.1 基本设计流程
    4.1.1 建立工作库文件夹和编辑设计文件
    4.1.2 创建工程
    4.1.3 编译前设置
    4.1.4 全程编译
    4.1.5 时序仿真
    4.1.6 应用RTL电路图观察器
    4.2 引脚设置与硬件验证
    4.2.1 引脚锁定
    4.2.2 编译文件下载
    4.2.3 AS模式编程
    4.2.4 JTFAG间接模式编程配置器件
    4.2.5 USB-Blaster编程配置器件使用方法
    4.2.6 其他的锁定引脚方法
    4.3 嵌入式逻辑分析仪使用方法
    4.4 编辑Signal Tap II的触发信号
    4.5 原理图输入设计方法
    4.5.1 层次化设计流程
    4.5.2 应用宏模块的多层次原理图设计
    4.5.3 74系列宏模块逻辑功能真值表查询
    4.6 keep属性应用
    4.7 Signal Probe使用方法
    4.8 Settings设置
    4.9 适配器Fitter设置
    4.10 HDL版本设置及Analysis&Synthesis功能
    4.11 Chip Planner应用
    4.11.1 Chip Planner应用实例
    4.11.2 Chip Planner功能说明
    4.11.3 利用Change Manager检测底层逻辑
    4.12 Synplify Pro的应用及其与Quartus II接口
    4.12.1 Synplify Pro设计指南
    4.12.2 Synplify Pro与Quartus II的接口方法
    习题
    实验与设计
    4-1 设计含异步清零和同步加载与时钟使能的计数器
    4-4 4选l多路选择器设计实验
    4-3 用文本和原理图输入法设计8位全加器
    4-4 十六进制7段数码显示译码器设计
    4-5 原理图输入法设计8位十进制显示的频率计
    4-6 数码扫描显示电路设计

    第5章 VHDL设计进阶
    5.1 数据对象
    5.1.1 常数
    5.1.2 变量
    5.1.3 信号
    5.1.4 进程中的信号赋值与变量赋值
    5.2 VHDL设计实例及其语法内涵
    5.2.1 含同步并行预置功能的8位移位寄存器设计
    5.2.2 移位模式可控的8位移位寄存器设计
    5.2.3 位矢中‘1’码个数统计电路设计
    5.2.4 三态门设计
    5.2.5 双向端口的设计方法
    5.2.6 三态总线电路设计
    5.2.7 双边沿触发时序电路设计讨论
    5.3 顺序语句归纳
    5.3.1 进程语句格式
    5.3.2 进程结构组成
    5.3.3 进程要点
    5.4 并行赋值语句讨论
    5.5 IF语句概述
    5.6 半整数与奇数分频电路设计
    5.7 仿真延时
    5.7.1 固有延时
    5.7.2 传输延时
    5.7.3 仿真6
    5.8 VHDL的RTL表述
    5.8.1 行为描述
    5.8.2 数据流描述
    5.8.3 结构描述
    习题
    实验与设计
    5-1 半整数与奇数分频器设计
    5-2 简易分频器设计
    5-3 VGA彩条信号显示控制电路设计
    ……
    第6章 宏功能模块与IP应用
    第7章 VHDL有限状态机设计
    第8章 系统优化和时序分析
    第9章 VHDL结构与要素
    第10章 VHDL基本语句
    第11章 系统仿真
    附录
    主要参考文献
  • 内容简介:
        《EDA技术实用教程:VHDL版(第4版)》根据课堂教学和实验操作的要求,以提高实际工程设计能为目的,深入浅出地对EDA技术、VHDL硬件描述语言、FPGA开发用及相关知识作了系统和完整的介绍,读者通过学习《EDA技术实用教程:VHDL版(第4版)》并完推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。
        全包括EDA的基本知识、常用EDA工具的使用方法和目标器件的结原理、以情景导向形式和实例为主的方法介绍的多种不同的设输入方法、对VHDL的设计优化以及基于EDA技术的典型设计项目各章都安排了习题和针对性较强的实验与设计项目。书中列举大部分VHDL设计实例和实验示例实现的EDA工具平台是Quartus9.0,硬件平台是CycloneⅢ系列FPGA,并在EDA实验系统上通过硬件测试。
        《EDA技术实用教程:VHDL版(第4版)》可作为高等院校电子工程、通信、工业自动化计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理学科的本科生或研究生的电子设计、EDA技术和VHDL硬件描述语的教材及实验指导书,同时也可作为相关专业技术人员的自学考书。
  • 目录:
    第1章 概述
    1.1 EDA技术及其发展
    1.2 EDA技术实现目标
    1.3 硬件描述语言
    1.4 HDL综合
    1.5 基于HDL的自顶向下设计方法
    1.6 EDA技术的优势
    1.7 EDA设计流程
    1.7.1 设计输入(原理图/HDL文本编辑)
    1.7.2 综合
    1.7.3 适配
    1.7.4 时序仿真与功能仿真
    1.7.5 编程下载
    1.7.6 硬件测试
    1.8 ASIC及其设计流程
    1.8.1 ASIC设计简介
    1.8.2 ASIC设计一般流程简述
    1.9 常用EDA工具
    1.9.1 设计输入编辑器
    1.9.HDL综合器
    1.9.3 仿真器
    1.9.4 适配器
    1.9.5 下载器
    1.10 Quartus II简介
    1.11 IP核
    1.12 EDA技术发展趋势
    习题

    第2章 FPGA/CPLD结构原理
    2.1 概述
    2.1.1 PLD的发展历程
    2.1.2 PLD分类
    2.2 简单PLD结构原理
    2.2.1 逻辑元件符号表示
    2.2.2 PROM结构原理
    2.2.3 PLA结构原理
    2.2.4 PAL结构原理
    2.2.5 GAL结构原理
    2.3 CPLD的结构及其工作原理
    2.4 FPGA的结构及其工作原理
    2.4.1 查找表逻辑结构
    2.4.2 Cyclone III系列器件的结构与原理
    2.5 硬件测试
    2.5.1 内部逻辑测试
    2.5.2.FlAG边界扫描测试
    2.5.3 嵌入式逻辑分析仪
    2.6 PLD产品概述
    2.6.1 Lattice公司的PLD器件
    2.6.2 Xilinx公司的PLD器件
    2.6.3.Altera公司的PLD器件
    2.6.4.Actel公司的PLD器件
    2.6.5 Altera的FPGA配置方式
    2.7 CPLD/FPGA的编程与配置
    2.7.1 CPLD在系统编程
    2.7.2 FPGA配置方式
    2.7.3 FPGA专用配置器件
    2.7.4 使用单片机配置FPGA
    2.7.5 使用CPLD配置FPGA
    习题

    第3章 VHDL设计初步
    3.1 组合电路的VHDL描述
    3.1.1 2选1多路选择器及其VHDL描述1
    3.1.2 2选1多路选择器及其VHDL描述2
    3.1.3 2选1多路选择器及其VHDL描述3
    3.1.4 半加器及其VHDL的描述
    3.1.5 1位二进制全加器及其VHDL描述
    3.1.6 VHDL例化语句
    3.2 基本时序电路的VHDL描述
    3.2.1 D触发器的VHDL描述
    3.2.2 VHDL实现时序电路的不同表述
    3.2.3 异步时序电路设计
    3.3 计数器的VHDL设计
    3.3.1 4位二进制加法计数器设计
    3.3.2 整数类型
    3.3.3 计数器的其他VHDL表达方式
    3.4 实用计数器的VHDL设计
    习题

    第4章 Quartus II应用向导
    4.1 基本设计流程
    4.1.1 建立工作库文件夹和编辑设计文件
    4.1.2 创建工程
    4.1.3 编译前设置
    4.1.4 全程编译
    4.1.5 时序仿真
    4.1.6 应用RTL电路图观察器
    4.2 引脚设置与硬件验证
    4.2.1 引脚锁定
    4.2.2 编译文件下载
    4.2.3 AS模式编程
    4.2.4 JTFAG间接模式编程配置器件
    4.2.5 USB-Blaster编程配置器件使用方法
    4.2.6 其他的锁定引脚方法
    4.3 嵌入式逻辑分析仪使用方法
    4.4 编辑Signal Tap II的触发信号
    4.5 原理图输入设计方法
    4.5.1 层次化设计流程
    4.5.2 应用宏模块的多层次原理图设计
    4.5.3 74系列宏模块逻辑功能真值表查询
    4.6 keep属性应用
    4.7 Signal Probe使用方法
    4.8 Settings设置
    4.9 适配器Fitter设置
    4.10 HDL版本设置及Analysis&Synthesis功能
    4.11 Chip Planner应用
    4.11.1 Chip Planner应用实例
    4.11.2 Chip Planner功能说明
    4.11.3 利用Change Manager检测底层逻辑
    4.12 Synplify Pro的应用及其与Quartus II接口
    4.12.1 Synplify Pro设计指南
    4.12.2 Synplify Pro与Quartus II的接口方法
    习题
    实验与设计
    4-1 设计含异步清零和同步加载与时钟使能的计数器
    4-4 4选l多路选择器设计实验
    4-3 用文本和原理图输入法设计8位全加器
    4-4 十六进制7段数码显示译码器设计
    4-5 原理图输入法设计8位十进制显示的频率计
    4-6 数码扫描显示电路设计

    第5章 VHDL设计进阶
    5.1 数据对象
    5.1.1 常数
    5.1.2 变量
    5.1.3 信号
    5.1.4 进程中的信号赋值与变量赋值
    5.2 VHDL设计实例及其语法内涵
    5.2.1 含同步并行预置功能的8位移位寄存器设计
    5.2.2 移位模式可控的8位移位寄存器设计
    5.2.3 位矢中‘1’码个数统计电路设计
    5.2.4 三态门设计
    5.2.5 双向端口的设计方法
    5.2.6 三态总线电路设计
    5.2.7 双边沿触发时序电路设计讨论
    5.3 顺序语句归纳
    5.3.1 进程语句格式
    5.3.2 进程结构组成
    5.3.3 进程要点
    5.4 并行赋值语句讨论
    5.5 IF语句概述
    5.6 半整数与奇数分频电路设计
    5.7 仿真延时
    5.7.1 固有延时
    5.7.2 传输延时
    5.7.3 仿真6
    5.8 VHDL的RTL表述
    5.8.1 行为描述
    5.8.2 数据流描述
    5.8.3 结构描述
    习题
    实验与设计
    5-1 半整数与奇数分频器设计
    5-2 简易分频器设计
    5-3 VGA彩条信号显示控制电路设计
    ……
    第6章 宏功能模块与IP应用
    第7章 VHDL有限状态机设计
    第8章 系统优化和时序分析
    第9章 VHDL结构与要素
    第10章 VHDL基本语句
    第11章 系统仿真
    附录
    主要参考文献
查看详情
系列丛书 / 更多
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
教育学基础(第3版)/普通高等教育精品教材·普通高等教育“十一五”国家级规划教材
全国十二所重点师范大学 编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
电工学(下册):电工学·电子技术
秦曾煌 主编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
现代汉语 [增订五版](上)
黄伯荣、廖序东 主编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
微生物学教程 第3版
周德庆 编著
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
建筑制图(第七版)/普通高等教育“十一五”国家级规划教材
何斌、陈锦昌、王枫红 编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
离散数学(第2版)
屈婉玲、耿素云、张立昂 著
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
普通高等教育“十一五”国家级规划教材:中国传统文化(第3版)
张岂之 编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
解析几何
吕林根、许子道 编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
美国文学选读(第3版)
陶洁 主编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
电力拖动自动控制系统:运动控制系统(第4版)
阮毅、陈伯时 主编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
中国古典园林史:(第三版)
周维权 著
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
新闻学概论(第五版)
李良荣 著
相关图书 / 更多
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术与应用
周振超;冯暖;沈超;程雪婷
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术应用
王永强;王远飞
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术教学做一体化教程
张永峰 主编
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术案例教程(第二版)
史小波
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术与应用教程(Verilog HDL版)(第3版)
王正勇 尹洪剑 冀云
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术及应用项目化教程:基于Multisim的电路仿真分析
孙康明
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA产教研融合之路
周祖成
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术与FPGA应用设计(第3版)
张博
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术及VHDL程序设计
李翠锦 武丽莉 余晓玫 李金琼 陈明平 徐礼培
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术与VHDL设计(第3版)
王金明
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术及其应用(活页式)
李川;饶静
EDA技术实用教程·VHDL版(第4版)/普通高等教育“十一五”国家级规划教材
EDA技术及应用(第3版)
朱正伟;朱栋;尧横;朱晨阳;孙广辉