EDA技术与FPGA应用设计(第2版)

EDA技术与FPGA应用设计(第2版)
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者: ,
2016-06
版次: 2
ISBN: 9787121290220
定价: 39.80
装帧: 平装
开本: 16开
纸张: 胶版纸
页数: 280页
字数: 448千字
正文语种: 简体中文
65人买过
  • 本书主要内容包括CPLD/FPGA可编程逻辑器件介绍,可编程逻辑器件EDA开发软件使用,VHDL硬件描述语言设计方法和SOPC应用,实验和设计实践5大部分。第一部分CPLD/FPGA可编程逻辑器件主要介绍可编程器件结构原理、设计流程、常用芯片特点及选用;第二部分重点介绍目前国内外常用EDA软件isp Design EXPERT System、QuartusⅡ、ISE开发流程及ModelSim仿真应用;第三部分重点讲述VHDL语言基础、描述方法及设计实例;第四部分主要介绍DSP Builder、SOPC Builder、NiosⅡ应用及实例;第五部分介绍实验及课程设计内容。  本书可作为高等学校电子信息类、电气信息类各专业的教材,也可作为电子工程设计技术人员的参考书。 张文爱,太原理工大学信息工程学院硕士生导师,主要研究方向有:智能信息处理、FPGA应用设计、嵌入式系统设计、自动控制系统应用设计等。 第1章 可编程逻辑器件概述
    1.1 数字逻辑电路设计与ASIC技术
    1.1.1 数字逻辑电路设计方法
    1.1.2 ASIC及其设计方法
    1.2 PLD概述
    1.2.1 PLD的发展
    1.2.2 PLD的分类
    1.3 PLD逻辑表示法
    1.4 PLD的设计与开发
    1.4.1 PLD的设计流程
    1.4.2 PLD的开发环境
    1.4.3 IP核复用技术
    习题1
    第2章 大规模可编程逻辑器件CPLD/FPGA
    2.1 CPLD结构与工作原理
    2.1.1 Lattice公司的CPLD器件系列
    2.1.2 ispLSI 1016的结构
    2.1.3 ispLSI系列器件的主要技术特性
    2.1.4 ispLSI器件的设计与编程
    2.2 FPGA内部结构与工作原理
    2.3 CPLD/FPGA产品概述
    2.3.1 Altera公司产品
    2.3.2 Xilinx公司产品
    2.3.3 Lattice公司产品
    2.4 编程与配置
    2.4.1 在系统可编程ISP
    2.4.2 配置
    2.5 CPLD与FPGA的比较和选用
    习题2
    第3章 常用EDA软件
    3.1 isp Design EXPERT System编程软件
    3.1.1 建立设计项目
    3.1.2 原理图源文件输入
    3.1.3 功能和时序仿真
    3.1.4 器件适配
    3.1.5 器件编程
    3.1.6 VHDL源文件输入方法
    3.2 Quartus II操作指南
    3.2.1 建立设计工程
    3.2.2 原理图源文件输入
    3.2.3 编译
    3.2.4 仿真验证
    3.2.5 器件编程
    3.2.6 VHDL设计输入方法
    3.3 ISE开发软件
    3.3.1 ISE概述
    3.3.2 新建工程
    3.3.3 新建VHDL源文件
    3.3.4 波形仿真
    3.3.5 设计实现
    3.3.6 下载配置
    3.4 ModelSim仿真软件
    3.4.1 ModelSim与VHDL仿真概述
    3.4.2 ModelSim仿真步骤
    3.4.3 VHDL测试文件
    习题3
    第4章 VHDL语言基础
    4.1 VHDL语言的基本组成
    4.1.1 参数部分
    4.1.2 实体部分
    4.1.3 结构体部分
    4.2 VHDL语言要素
    4.2.1 文字规则
    4.2.2 数据对象
    4.2.3 VHDL中的数据类型
    4.2.4 VHDL语言的运算符
    4.2.5 VHDL的属性
    习题4
    第5章 VHDL基本描述语句
    5.1 顺序语句
    5.1.1 顺序赋值语句
    5.1.2 IF语句
    5.1.3 CASE语句
    5.1.4 LOOP语句
    5.1.5 NEXT语句
    5.1.6 EXIT语句
    5.1.7 WAIT语句
    5.1.8 NULL语句
    5.2 并行语句
    5.2.1 并行信号赋值语句
    5.2.2 PROCESS进程语句
    5.2.3 元件例化语句
    5.2.4 BLOCK块语句
    5.2.5 GENERATE生成语句
    习题5
    第6章 子程序与程序包
    6.1 子程序
    6.1.1 函数
    6.1.2 过程
    6.2 程序包
    6.2.1 程序包定义
    6.2.2 程序包引用
    6.2.3 常用预定义程序包
    习题6
    第7章 常用电路的VHDL描述
    7.1 组合逻辑电路VHDL描述
    7.1.1 基本门电路
    7.1.2 编码器
    7.1.3 译码器
    7.1.4 数值比较器
    7.1.5 数据选择器
    7.1.6 算术运算
    7.1.7 三态门电路
    7.1.8 双向端口设计
    7.2 时序逻辑电路VHDL描述
    7.2.1 触发器
    7.2.2 计数器
    7.2.3 移位寄存器
    7.2.4 状态机
    7.3 存储器设计
    7.3.1 ROM存储器设计
    7.3.2 RAM存储器设计
    习题7
    第8章 宏功能模块与IP核应用
    8.1 LPM_RAM
    8.1.1 LPM_RAM宏模块定制
    8.1.2 工程编译
    8.1.3 仿真验证
    8.1.4 查看RTL原理图
    8.1.5 LPM_RAM应用
    8.2 LPM_ROM宏模块
    8.2.1 建立初始化数据文件
    8.2.2 LPM_ROM宏模块配置
    8.2.3 仿真验证
    8.2.4 LPM_ROM模块调用
    8.3 时钟锁相环宏模块
    8.3.1 LPM_PLL宏模块配置
    8.3.2 PLL模块调用
    8.3.3 仿真验证
    8.4 片内逻辑分析仪
    8.4.1 新建逻辑分析仪设置文件
    8.4.2 引脚锁定
    8.4.3 编程下载
    8.4.4 信号采样
    习题8
    第9章 DSP Builder应用
    9.1 DSP Builder软件安装
    9.2 DSP Builder设计实例
    9.2.1 建立Simulink模型
    9.2.2 模型仿真
    9.2.3 模型编译
    习题9
    第10章 SOPC Builder应用
    10.1 SOPC Builder
    10.2 Nios II 综合设计实例
    习题10
    第11章 EDA技术实验
    11.1 原理图输入方式
    11.1.1 实验一 1位全加器
    11.1.2 实验二 两位十进制计数器
    11.2 VHDL文本输入方式
    11.2.1 实验三 显示译码器
    11.2.2 实验四 8位加法器
    11.2.3 实验五 3线-8线译码器
    11.2.4 实验六 十进制加法计数器
    11.2.5 实验七 4位十进制计数显示器
    11.2.6 实验八 用状态机实现序列检测器
    第12章 综合设计
    12.1 移位相加8位硬件乘法器
    12.1.1 设计要求
    12.1.2 设计原理
    12.1.3 部分参考程序
    12.1.4 设计步骤
    12.1.5 设计报告
    12.2 秒表
    12.2.1 设计要求
    12.2.2 设计原理
    12.2.3 部分参考程序
    12.2.4 设计步骤
    12.2.5 设计报告
    12.3 抢答器
    12.3.1 设计要求
    12.3.2 设计原理
    12.3.3 部分参考程序
    12.3.4 设计步骤
    12.3.5 设计报告
    12.4 数字钟
    12.4.1 设计要求
    12.4.2 设计方案
    12.4.3 部分参考程序
    12.4.4 设计步骤
    12.4.5 设计报告
    12.5 交通灯控制器
    12.5.1 设计要求
    12.5.2 设计原理
    12.5.3 部分参考程序
    12.5.4 设计步骤
    12.5.5 设计报告
    12.6 多路彩灯控制器
    12.6.1 设计要求
    12.6.2 设计方案
    12.6.3 VHDL参考程序
    12.6.4 设计步骤
    12.6.5 设计报告
    附录A DE2-115实验板引脚配置信息
    参考文献
  • 内容简介:
    本书主要内容包括CPLD/FPGA可编程逻辑器件介绍,可编程逻辑器件EDA开发软件使用,VHDL硬件描述语言设计方法和SOPC应用,实验和设计实践5大部分。第一部分CPLD/FPGA可编程逻辑器件主要介绍可编程器件结构原理、设计流程、常用芯片特点及选用;第二部分重点介绍目前国内外常用EDA软件isp Design EXPERT System、QuartusⅡ、ISE开发流程及ModelSim仿真应用;第三部分重点讲述VHDL语言基础、描述方法及设计实例;第四部分主要介绍DSP Builder、SOPC Builder、NiosⅡ应用及实例;第五部分介绍实验及课程设计内容。  本书可作为高等学校电子信息类、电气信息类各专业的教材,也可作为电子工程设计技术人员的参考书。
  • 作者简介:
    张文爱,太原理工大学信息工程学院硕士生导师,主要研究方向有:智能信息处理、FPGA应用设计、嵌入式系统设计、自动控制系统应用设计等。
  • 目录:
    第1章 可编程逻辑器件概述
    1.1 数字逻辑电路设计与ASIC技术
    1.1.1 数字逻辑电路设计方法
    1.1.2 ASIC及其设计方法
    1.2 PLD概述
    1.2.1 PLD的发展
    1.2.2 PLD的分类
    1.3 PLD逻辑表示法
    1.4 PLD的设计与开发
    1.4.1 PLD的设计流程
    1.4.2 PLD的开发环境
    1.4.3 IP核复用技术
    习题1
    第2章 大规模可编程逻辑器件CPLD/FPGA
    2.1 CPLD结构与工作原理
    2.1.1 Lattice公司的CPLD器件系列
    2.1.2 ispLSI 1016的结构
    2.1.3 ispLSI系列器件的主要技术特性
    2.1.4 ispLSI器件的设计与编程
    2.2 FPGA内部结构与工作原理
    2.3 CPLD/FPGA产品概述
    2.3.1 Altera公司产品
    2.3.2 Xilinx公司产品
    2.3.3 Lattice公司产品
    2.4 编程与配置
    2.4.1 在系统可编程ISP
    2.4.2 配置
    2.5 CPLD与FPGA的比较和选用
    习题2
    第3章 常用EDA软件
    3.1 isp Design EXPERT System编程软件
    3.1.1 建立设计项目
    3.1.2 原理图源文件输入
    3.1.3 功能和时序仿真
    3.1.4 器件适配
    3.1.5 器件编程
    3.1.6 VHDL源文件输入方法
    3.2 Quartus II操作指南
    3.2.1 建立设计工程
    3.2.2 原理图源文件输入
    3.2.3 编译
    3.2.4 仿真验证
    3.2.5 器件编程
    3.2.6 VHDL设计输入方法
    3.3 ISE开发软件
    3.3.1 ISE概述
    3.3.2 新建工程
    3.3.3 新建VHDL源文件
    3.3.4 波形仿真
    3.3.5 设计实现
    3.3.6 下载配置
    3.4 ModelSim仿真软件
    3.4.1 ModelSim与VHDL仿真概述
    3.4.2 ModelSim仿真步骤
    3.4.3 VHDL测试文件
    习题3
    第4章 VHDL语言基础
    4.1 VHDL语言的基本组成
    4.1.1 参数部分
    4.1.2 实体部分
    4.1.3 结构体部分
    4.2 VHDL语言要素
    4.2.1 文字规则
    4.2.2 数据对象
    4.2.3 VHDL中的数据类型
    4.2.4 VHDL语言的运算符
    4.2.5 VHDL的属性
    习题4
    第5章 VHDL基本描述语句
    5.1 顺序语句
    5.1.1 顺序赋值语句
    5.1.2 IF语句
    5.1.3 CASE语句
    5.1.4 LOOP语句
    5.1.5 NEXT语句
    5.1.6 EXIT语句
    5.1.7 WAIT语句
    5.1.8 NULL语句
    5.2 并行语句
    5.2.1 并行信号赋值语句
    5.2.2 PROCESS进程语句
    5.2.3 元件例化语句
    5.2.4 BLOCK块语句
    5.2.5 GENERATE生成语句
    习题5
    第6章 子程序与程序包
    6.1 子程序
    6.1.1 函数
    6.1.2 过程
    6.2 程序包
    6.2.1 程序包定义
    6.2.2 程序包引用
    6.2.3 常用预定义程序包
    习题6
    第7章 常用电路的VHDL描述
    7.1 组合逻辑电路VHDL描述
    7.1.1 基本门电路
    7.1.2 编码器
    7.1.3 译码器
    7.1.4 数值比较器
    7.1.5 数据选择器
    7.1.6 算术运算
    7.1.7 三态门电路
    7.1.8 双向端口设计
    7.2 时序逻辑电路VHDL描述
    7.2.1 触发器
    7.2.2 计数器
    7.2.3 移位寄存器
    7.2.4 状态机
    7.3 存储器设计
    7.3.1 ROM存储器设计
    7.3.2 RAM存储器设计
    习题7
    第8章 宏功能模块与IP核应用
    8.1 LPM_RAM
    8.1.1 LPM_RAM宏模块定制
    8.1.2 工程编译
    8.1.3 仿真验证
    8.1.4 查看RTL原理图
    8.1.5 LPM_RAM应用
    8.2 LPM_ROM宏模块
    8.2.1 建立初始化数据文件
    8.2.2 LPM_ROM宏模块配置
    8.2.3 仿真验证
    8.2.4 LPM_ROM模块调用
    8.3 时钟锁相环宏模块
    8.3.1 LPM_PLL宏模块配置
    8.3.2 PLL模块调用
    8.3.3 仿真验证
    8.4 片内逻辑分析仪
    8.4.1 新建逻辑分析仪设置文件
    8.4.2 引脚锁定
    8.4.3 编程下载
    8.4.4 信号采样
    习题8
    第9章 DSP Builder应用
    9.1 DSP Builder软件安装
    9.2 DSP Builder设计实例
    9.2.1 建立Simulink模型
    9.2.2 模型仿真
    9.2.3 模型编译
    习题9
    第10章 SOPC Builder应用
    10.1 SOPC Builder
    10.2 Nios II 综合设计实例
    习题10
    第11章 EDA技术实验
    11.1 原理图输入方式
    11.1.1 实验一 1位全加器
    11.1.2 实验二 两位十进制计数器
    11.2 VHDL文本输入方式
    11.2.1 实验三 显示译码器
    11.2.2 实验四 8位加法器
    11.2.3 实验五 3线-8线译码器
    11.2.4 实验六 十进制加法计数器
    11.2.5 实验七 4位十进制计数显示器
    11.2.6 实验八 用状态机实现序列检测器
    第12章 综合设计
    12.1 移位相加8位硬件乘法器
    12.1.1 设计要求
    12.1.2 设计原理
    12.1.3 部分参考程序
    12.1.4 设计步骤
    12.1.5 设计报告
    12.2 秒表
    12.2.1 设计要求
    12.2.2 设计原理
    12.2.3 部分参考程序
    12.2.4 设计步骤
    12.2.5 设计报告
    12.3 抢答器
    12.3.1 设计要求
    12.3.2 设计原理
    12.3.3 部分参考程序
    12.3.4 设计步骤
    12.3.5 设计报告
    12.4 数字钟
    12.4.1 设计要求
    12.4.2 设计方案
    12.4.3 部分参考程序
    12.4.4 设计步骤
    12.4.5 设计报告
    12.5 交通灯控制器
    12.5.1 设计要求
    12.5.2 设计原理
    12.5.3 部分参考程序
    12.5.4 设计步骤
    12.5.5 设计报告
    12.6 多路彩灯控制器
    12.6.1 设计要求
    12.6.2 设计方案
    12.6.3 VHDL参考程序
    12.6.4 设计步骤
    12.6.5 设计报告
    附录A DE2-115实验板引脚配置信息
    参考文献
查看详情
相关图书 / 更多
EDA技术与FPGA应用设计(第2版)
EDA技术与应用
周振超;冯暖;沈超;程雪婷
EDA技术与FPGA应用设计(第2版)
EDA技术应用
王永强;王远飞
EDA技术与FPGA应用设计(第2版)
EDA技术教学做一体化教程
张永峰 主编
EDA技术与FPGA应用设计(第2版)
EDA技术案例教程(第二版)
史小波
EDA技术与FPGA应用设计(第2版)
EDA技术与应用教程(Verilog HDL版)(第3版)
王正勇 尹洪剑 冀云
EDA技术与FPGA应用设计(第2版)
EDA技术及应用项目化教程:基于Multisim的电路仿真分析
孙康明
EDA技术与FPGA应用设计(第2版)
EDA产教研融合之路
周祖成
EDA技术与FPGA应用设计(第2版)
EDA技术与FPGA应用设计(第3版)
张博
EDA技术与FPGA应用设计(第2版)
EDA技术及VHDL程序设计
李翠锦 武丽莉 余晓玫 李金琼 陈明平 徐礼培
EDA技术与FPGA应用设计(第2版)
EDA技术与VHDL设计(第3版)
王金明
EDA技术与FPGA应用设计(第2版)
EDA技术及其应用(活页式)
李川;饶静
EDA技术与FPGA应用设计(第2版)
EDA技术及应用(第3版)
朱正伟;朱栋;尧横;朱晨阳;孙广辉
您可能感兴趣 / 更多