CPLD/FPGA与ASIC设计实践教程(第二版)

CPLD/FPGA与ASIC设计实践教程(第二版)
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者: , ,
出版社: 科学出版社
2010-09
版次: 2
ISBN: 9787030288301
定价: 56.00
装帧: 平装
开本: 16开
纸张: 胶版纸
页数: 279页
字数: 430千字
正文语种: 简体中文
26人买过
  •   《CPLD/FPGA与ASIC设计实践教程(第二版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理,CPLD/FPGA器件的性能指标与选型、编程方法和下载电路,以及常用EDA设计软件的使用。第4~6章先详细介绍Verilog HDL语言,然后按照数字电路与逻辑设计课程的顺序,通过实例说明常用数字逻辑电路的实现方法,并讨论利用VerilogHDL设计可综合的数字电路的方法与技巧。第7、8章是设计实验部分,包括经典数字电路设计练习和综合性设计项目。第9章介绍嵌入式系统的发展趋势、SoPC设计技术及其应用等。
      《CPLD/FPGA与ASIC设计实践教程(第二版)》可作为高等院校电子电气类、机电类等专业数字系统设计课程的教材,也可作为相关领域工程技术人员的参考书。   丛书序
    第二版前言
    第一版前言
    第1章 绪论
    1.1 概述
    1.1.1 电子器件的发展
    1.1.2 电子设计技术的发展
    1.2 EDA技术的发展史
    1.2.1 EDA概念
    1.2.2 EDA技术的发展
    1.3 CPLD/FPGA的发展史
    1.3.1 数字集成电路的分类
    1.3.2 可编程逻辑器件的发展史
    1.4 常用EDA设计工具介绍
    1.4.1 电子电路设计与仿真工具
    1.4.2 PCB设计软件
    1.4.3 IC设计软件
    1.4.4 CPLD/FPGA应用设计工具
    1.5 数字系统的设计方法
    1.5.1 数字电路设计的基本方法
    1.5.2 现代数字系统的设计方法
    1.5.3 CPLD/FPGA应用设计流程
    1.5.4 基于QuartusⅡ的设计流程
    1.5.5 基于ISE的设计流程
    思考与练习题

    第2章 可编程逻辑器件基础
    2.1 引言
    2.2 PID器件及其分类
    2.2.1 PLD器件
    2.2.2 PLD的分类
    2.3 可编程逻辑器件结构简介
    2.3.1 标准门单元、电路示意和PAL等效图
    2.3.2 PLD的逻辑表示方法
    2.3.3 PLD的基本结构
    2.4 CPLD/FPGA的结构和原理
    2.4.1 EPLD和CPLD的基本结构
    2.4.2 FPGA的基本结构
    2.5 CPLD/FPGA器件的编程
    2.5.1 Altera公司的EPLD/CPLD器件及其配置与编程
    2.5.2 L,attice公司的ISP-CPLD器件及其编程
    2.5.3 Xilinx公司的CPLD/FPGA器件及其编程
    2.5.4.CPLD/FPGA通用下载电路设计
    2.6 边界扫描测试技术
    思考与练习题

    第3章 EDA工具应用设计实践
    3.1 QuartusⅡ简介
    3.2 QuartusⅡ的使用方法
    3.2.1 原理图输入法
    3.2.2 HDL输入法
    思考与练习题

    第4章 数字系统与Verllog HDL描述
    4.1 verilox HDL的一般结构
    4.1.1 电子系统、电路和模块
    4.1.2 verilog HDL模块的结构
    4.1.3 Verilog HDL模块的描述方式
    4.2 数字电路的Vedlog HDL模型与设计
    4.2.1 交通灯监视电路设计
    4.2.2 四位二进制数18421BCD码
    4.2.3 函数发生器设计
    4.2.4 四选一数据选择器
    4.2.5 三进制计数器设计
    4.2.6 移位寄存器设计
    4.2.7 伪随机序列信号发生器设计
    思考与练习题

    第5章 Verilog HDL语言基础
    5.1 为什么要用verilog HDL
    5.1.1 概述
    5.1.2 Verilog HDL和VHDL比较
    5.1.3 Verilog HDL语言的主要功能
    5.1.4 传统数字电路设计方法的回顾
    5.2 Verilog HDL基础语法
    5.2.1 Verilog HDL的词法
    5.2.2 Verilog HDL的数据类型
    5.2.3 verilog HDL运算符及表达式
    5.2.4 系统任务与系统函数
    ……
    第6章 Verilog HDL设计进阶
    第7章 综合设计实例
    第8章 设计实验项目
    第9章 SoPC设计
    附录A Verilog HDL关键字
    附录B MY-FPGA-EP1C3开发板介绍
    参考文献
  • 内容简介:
      《CPLD/FPGA与ASIC设计实践教程(第二版)》以大规模可编程逻辑器件为基础,详细介绍了PLD、CPLD/FPGA器件的原理和开发技术。第1~3章介绍EDA技术和可编程逻辑器件的原理,CPLD/FPGA器件的性能指标与选型、编程方法和下载电路,以及常用EDA设计软件的使用。第4~6章先详细介绍Verilog HDL语言,然后按照数字电路与逻辑设计课程的顺序,通过实例说明常用数字逻辑电路的实现方法,并讨论利用VerilogHDL设计可综合的数字电路的方法与技巧。第7、8章是设计实验部分,包括经典数字电路设计练习和综合性设计项目。第9章介绍嵌入式系统的发展趋势、SoPC设计技术及其应用等。
      《CPLD/FPGA与ASIC设计实践教程(第二版)》可作为高等院校电子电气类、机电类等专业数字系统设计课程的教材,也可作为相关领域工程技术人员的参考书。
  • 作者简介:
     
  • 目录:
    丛书序
    第二版前言
    第一版前言
    第1章 绪论
    1.1 概述
    1.1.1 电子器件的发展
    1.1.2 电子设计技术的发展
    1.2 EDA技术的发展史
    1.2.1 EDA概念
    1.2.2 EDA技术的发展
    1.3 CPLD/FPGA的发展史
    1.3.1 数字集成电路的分类
    1.3.2 可编程逻辑器件的发展史
    1.4 常用EDA设计工具介绍
    1.4.1 电子电路设计与仿真工具
    1.4.2 PCB设计软件
    1.4.3 IC设计软件
    1.4.4 CPLD/FPGA应用设计工具
    1.5 数字系统的设计方法
    1.5.1 数字电路设计的基本方法
    1.5.2 现代数字系统的设计方法
    1.5.3 CPLD/FPGA应用设计流程
    1.5.4 基于QuartusⅡ的设计流程
    1.5.5 基于ISE的设计流程
    思考与练习题

    第2章 可编程逻辑器件基础
    2.1 引言
    2.2 PID器件及其分类
    2.2.1 PLD器件
    2.2.2 PLD的分类
    2.3 可编程逻辑器件结构简介
    2.3.1 标准门单元、电路示意和PAL等效图
    2.3.2 PLD的逻辑表示方法
    2.3.3 PLD的基本结构
    2.4 CPLD/FPGA的结构和原理
    2.4.1 EPLD和CPLD的基本结构
    2.4.2 FPGA的基本结构
    2.5 CPLD/FPGA器件的编程
    2.5.1 Altera公司的EPLD/CPLD器件及其配置与编程
    2.5.2 L,attice公司的ISP-CPLD器件及其编程
    2.5.3 Xilinx公司的CPLD/FPGA器件及其编程
    2.5.4.CPLD/FPGA通用下载电路设计
    2.6 边界扫描测试技术
    思考与练习题

    第3章 EDA工具应用设计实践
    3.1 QuartusⅡ简介
    3.2 QuartusⅡ的使用方法
    3.2.1 原理图输入法
    3.2.2 HDL输入法
    思考与练习题

    第4章 数字系统与Verllog HDL描述
    4.1 verilox HDL的一般结构
    4.1.1 电子系统、电路和模块
    4.1.2 verilog HDL模块的结构
    4.1.3 Verilog HDL模块的描述方式
    4.2 数字电路的Vedlog HDL模型与设计
    4.2.1 交通灯监视电路设计
    4.2.2 四位二进制数18421BCD码
    4.2.3 函数发生器设计
    4.2.4 四选一数据选择器
    4.2.5 三进制计数器设计
    4.2.6 移位寄存器设计
    4.2.7 伪随机序列信号发生器设计
    思考与练习题

    第5章 Verilog HDL语言基础
    5.1 为什么要用verilog HDL
    5.1.1 概述
    5.1.2 Verilog HDL和VHDL比较
    5.1.3 Verilog HDL语言的主要功能
    5.1.4 传统数字电路设计方法的回顾
    5.2 Verilog HDL基础语法
    5.2.1 Verilog HDL的词法
    5.2.2 Verilog HDL的数据类型
    5.2.3 verilog HDL运算符及表达式
    5.2.4 系统任务与系统函数
    ……
    第6章 Verilog HDL设计进阶
    第7章 综合设计实例
    第8章 设计实验项目
    第9章 SoPC设计
    附录A Verilog HDL关键字
    附录B MY-FPGA-EP1C3开发板介绍
    参考文献
查看详情
系列丛书 / 更多
CPLD/FPGA与ASIC设计实践教程(第二版)
光纤技术及应用(第二版)
石顺祥、孙艳玲、马琳、刘继芳 著
CPLD/FPGA与ASIC设计实践教程(第二版)
光电探测与信号处理
安毓英、曾晓东、冯喆珺 著
CPLD/FPGA与ASIC设计实践教程(第二版)
半导体材料(第三版)
杨树人、王宗昌、王兢 著
CPLD/FPGA与ASIC设计实践教程(第二版)
光电子技术及其应用/普通高等教育电子科学与技术类特色专业系列规划教材
石顺祥、刘继芳 著
CPLD/FPGA与ASIC设计实践教程(第二版)
光电技术(第二版)/普通高等教育电子科学与技术类特色专业系列规划教材
施建华、谢文科、马浩统 著;江文杰 编
CPLD/FPGA与ASIC设计实践教程(第二版)
现代电子材料与元器件
王巍、冯世娟、罗元 著
CPLD/FPGA与ASIC设计实践教程(第二版)
微电子物理基础导论
王巍 编
CPLD/FPGA与ASIC设计实践教程(第二版)
集成电路设计技术
高勇、乔世杰、陈曦 著
CPLD/FPGA与ASIC设计实践教程(第二版)
薄膜光学与薄膜技术基础/普通高等教育电子科学与技术类特色专业系列规划教材
曹建章、徐平、李景镇 著
相关图书 / 更多
CPLD/FPGA与ASIC设计实践教程(第二版)
CPU眼里的C/C++
阿布编程
CPLD/FPGA与ASIC设计实践教程(第二版)
CPA名词宝典(注册会计师全国统一考试)
嗨学网财经研究院 编
CPLD/FPGA与ASIC设计实践教程(第二版)
CPA十年真题研究手册
高顿财经研究院
CPLD/FPGA与ASIC设计实践教程(第二版)
CPTPP与国际经贸新规则:理论与实践
刘敬东 主编
CPLD/FPGA与ASIC设计实践教程(第二版)
CPU设计实战
汪文祥;邢金璋
CPLD/FPGA与ASIC设计实践教程(第二版)
CPA注册会计师2022教材考试辅导【财务成本管理】知识点全解+十年真题高顿教育
高顿教育CPA考试研究院 著
CPLD/FPGA与ASIC设计实践教程(第二版)
CPTPP对企业利益的影响及会计对策研究
冯巧根 著
CPLD/FPGA与ASIC设计实践教程(第二版)
CPLEX基础与供应链管理中的应用
卞文良
CPLD/FPGA与ASIC设计实践教程(第二版)
CPS建模设计和优化--以汽车CPS为例(精)/数字制造科学与技术前沿研究丛书
谢勇、李仁发 编
CPLD/FPGA与ASIC设计实践教程(第二版)
CPU通识课
靳国杰、张戈 著
CPLD/FPGA与ASIC设计实践教程(第二版)
CPⅢ控制网数据处理方法
李建章
CPLD/FPGA与ASIC设计实践教程(第二版)
CPLog成像测井新技术应用典型案例
金明权、胡启月、石玉江 编