Verilog实例教程

Verilog实例教程
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者: , ,
2016-01
版次: 1
ISBN: 9787118105674
定价: 50.00
装帧: 平装
开本: 16开
纸张: 胶版纸
页数: 304页
字数: 450千字
正文语种: 简体中文
3人买过
  •   《Verilog实例教程》全面介绍如何使用Verilog HDL进行数字电路设计、仿真和验证。全书由Verilog HDL语法基础、组合与时序电路设计和多个实例系统设计三部分组成。《Verilog实例教程》以Verilog-1995和Verilog-2001标准为基础,重视电路仿真与验证,紧密结合设计实践,可以帮助读者掌握规范的电路设计方法。书中大量的例题可直接用于读者的设计实践,具有良好的参考价值。
      《Verilog实例教程》适合通信工程、电子工程及相关专业的高年级本科生、硕士生作为教材使用,同时也可供进行集成电路设计和可编程逻辑器件设计的工程师参考使用。 第1章 Verilog语言
    1.1 硬件描述语言
    1.2 Verilog发展历史与功能
    1.2.1 Verilog HDL
    1.2.2 Verilog历史与主要能力
    1.3 FPGA开发的一般流程

    第2章 Verilog HDL初试
    2.1 模块
    2.2 时延
    2.3 数据流描述方式
    2.4 行为描述方式
    2.5 结构化描述方式
    2.6 混合设计描述方式
    2.7 设计测试

    第3章 Verilog语言要素与表达式
    3.1 标识符
    3.2 注释
    3.3 格式
    3.4 系统任务和函数
    3.5 编译指令
    3.5.1 'define和'undef
    3.5.2 'ifdef、'else和'endif
    3.5.3 'default nettype
    3.5.4 'include
    3.5.5 'resetall
    3.5.6 'timescale
    3.5.7 'unconnected_drive和'nounconnected_drive
    3.5.8 'celldefine和'endcelldefiue
    3.6 值集合
    3.6.1 整型数
    3.6.2 实数
    3.6.3 字符串
    3.7 数据类型
    3.7.1 线网类型
    3.7.2 未说明的线网
    3.7.3 向量和标量线网
    3.7.4 寄存器类型
    3.8 参数
    3.9 表达式
    3.9.1 操作数
    3.9.2 操作符
    3.9.3 表达式种类

    第4章 Verilog建模基础
    4.1 模块及实例化
    4.1.1 模块
    4.1.2 端口
    4.1.3 模块实例语句
    4.1.4 悬空端口
    4.1.5 不同的端口长度
    4.1.6 模块参数值
    4.1.7 外部端口
    4.2 结构模型
    4.2.1 内置基本门
    4.2.2 多输入门
    4.2.3 多输出门
    4.2.4 三态门
    4.2.5 上拉、下拉电阻
    4.2.6 MOS开关
    4.2.7 双向开关
    4.2.8 门时延
    4.2.9 实例数组
    4.2.10 隐式线网
    4.2.11 示例
    4.3 数据流模型化
    4.3.1 连续赋值语句
    4.3.2 线网说明赋值
    4.3.3 时延
    4.3.4 线网时延
    4.4 行为模型
    4.4.1 过程结构
    4.4.2 语句块
    4.4.3 过程性赋值
    4.4.4 连续赋值与过程赋值的比较
    4.4.5 if语句
    4.4.6 case语句
    4.4.7 循环语句
    4.4.8 过程性连续赋值
    4.5 结构描述方式和行为描述方式的混合使用

    第5章 Verilog模块验证
    5.1 编写测试验证程序
    5.2 激励值产生
    5.2.1 值序列
    5.2.2 重复模式
    5.3 测试验证程序实例l
    5.3.1 解码器
    5.3.2 触发器
    5.4 从文本文件中读取向量
    5.5 向文本文件中写入向量
    5.6 其他实例
    5.6.1 时钟分频器
    5.6.2 阶乘设计
    5.6.3 时序检测器

    第6章 Verilog语言其他规则
    6.1 任务
    6.1.1 任务定义
    6.1.2 任务调用
    6.2 函数
    6.2.1 函数说明部分
    6.2.2 函数调用
    6.3 系统任务和系统函数
    6.3.1 显示任务
    6.3.2 文件输入/输出任务
    6.3.3 时间标度任务
    6.3.4 模拟控制任务
    6.3.5 定时校验任务
    6.3.6 模拟时间函数
    6.3.7 变换函数
    6.3.8 概率分布函数
    6.4 禁止语句
    6.5 命名事件
    6.6 层次路径名
    6.7 共享任务和函数
    6.8 值变转储文件
    6.9 指定程序块
    6.10 强度
    6.11 竞争状态

    第7章 组合电路设计实例
    7.1 编码器
    7.2 译码器
    7.3 多路复用器
    7.4 奇偶校验器
    7.5 三态器件与比较器
    7.6 算术逻辑单元
    7.7 组合电路综合案例

    第8章 时序电路设计
    8.1 锁存器与触发器
    8.2 计数器
    8.3 移位寄存器
    8.4 分频器
    8.5 序列检测器的状态机设计
    8.6 同步系统结构的设计
    8.7 综合实例设计
    8.7.1 计数芯片74x163芯片设计与应用
    8.7.2 多种类型计数器的设计与实现

    第9章 存储器
    第10章 综合实例
    参考文献
  • 内容简介:
      《Verilog实例教程》全面介绍如何使用Verilog HDL进行数字电路设计、仿真和验证。全书由Verilog HDL语法基础、组合与时序电路设计和多个实例系统设计三部分组成。《Verilog实例教程》以Verilog-1995和Verilog-2001标准为基础,重视电路仿真与验证,紧密结合设计实践,可以帮助读者掌握规范的电路设计方法。书中大量的例题可直接用于读者的设计实践,具有良好的参考价值。
      《Verilog实例教程》适合通信工程、电子工程及相关专业的高年级本科生、硕士生作为教材使用,同时也可供进行集成电路设计和可编程逻辑器件设计的工程师参考使用。
  • 目录:
    第1章 Verilog语言
    1.1 硬件描述语言
    1.2 Verilog发展历史与功能
    1.2.1 Verilog HDL
    1.2.2 Verilog历史与主要能力
    1.3 FPGA开发的一般流程

    第2章 Verilog HDL初试
    2.1 模块
    2.2 时延
    2.3 数据流描述方式
    2.4 行为描述方式
    2.5 结构化描述方式
    2.6 混合设计描述方式
    2.7 设计测试

    第3章 Verilog语言要素与表达式
    3.1 标识符
    3.2 注释
    3.3 格式
    3.4 系统任务和函数
    3.5 编译指令
    3.5.1 'define和'undef
    3.5.2 'ifdef、'else和'endif
    3.5.3 'default nettype
    3.5.4 'include
    3.5.5 'resetall
    3.5.6 'timescale
    3.5.7 'unconnected_drive和'nounconnected_drive
    3.5.8 'celldefine和'endcelldefiue
    3.6 值集合
    3.6.1 整型数
    3.6.2 实数
    3.6.3 字符串
    3.7 数据类型
    3.7.1 线网类型
    3.7.2 未说明的线网
    3.7.3 向量和标量线网
    3.7.4 寄存器类型
    3.8 参数
    3.9 表达式
    3.9.1 操作数
    3.9.2 操作符
    3.9.3 表达式种类

    第4章 Verilog建模基础
    4.1 模块及实例化
    4.1.1 模块
    4.1.2 端口
    4.1.3 模块实例语句
    4.1.4 悬空端口
    4.1.5 不同的端口长度
    4.1.6 模块参数值
    4.1.7 外部端口
    4.2 结构模型
    4.2.1 内置基本门
    4.2.2 多输入门
    4.2.3 多输出门
    4.2.4 三态门
    4.2.5 上拉、下拉电阻
    4.2.6 MOS开关
    4.2.7 双向开关
    4.2.8 门时延
    4.2.9 实例数组
    4.2.10 隐式线网
    4.2.11 示例
    4.3 数据流模型化
    4.3.1 连续赋值语句
    4.3.2 线网说明赋值
    4.3.3 时延
    4.3.4 线网时延
    4.4 行为模型
    4.4.1 过程结构
    4.4.2 语句块
    4.4.3 过程性赋值
    4.4.4 连续赋值与过程赋值的比较
    4.4.5 if语句
    4.4.6 case语句
    4.4.7 循环语句
    4.4.8 过程性连续赋值
    4.5 结构描述方式和行为描述方式的混合使用

    第5章 Verilog模块验证
    5.1 编写测试验证程序
    5.2 激励值产生
    5.2.1 值序列
    5.2.2 重复模式
    5.3 测试验证程序实例l
    5.3.1 解码器
    5.3.2 触发器
    5.4 从文本文件中读取向量
    5.5 向文本文件中写入向量
    5.6 其他实例
    5.6.1 时钟分频器
    5.6.2 阶乘设计
    5.6.3 时序检测器

    第6章 Verilog语言其他规则
    6.1 任务
    6.1.1 任务定义
    6.1.2 任务调用
    6.2 函数
    6.2.1 函数说明部分
    6.2.2 函数调用
    6.3 系统任务和系统函数
    6.3.1 显示任务
    6.3.2 文件输入/输出任务
    6.3.3 时间标度任务
    6.3.4 模拟控制任务
    6.3.5 定时校验任务
    6.3.6 模拟时间函数
    6.3.7 变换函数
    6.3.8 概率分布函数
    6.4 禁止语句
    6.5 命名事件
    6.6 层次路径名
    6.7 共享任务和函数
    6.8 值变转储文件
    6.9 指定程序块
    6.10 强度
    6.11 竞争状态

    第7章 组合电路设计实例
    7.1 编码器
    7.2 译码器
    7.3 多路复用器
    7.4 奇偶校验器
    7.5 三态器件与比较器
    7.6 算术逻辑单元
    7.7 组合电路综合案例

    第8章 时序电路设计
    8.1 锁存器与触发器
    8.2 计数器
    8.3 移位寄存器
    8.4 分频器
    8.5 序列检测器的状态机设计
    8.6 同步系统结构的设计
    8.7 综合实例设计
    8.7.1 计数芯片74x163芯片设计与应用
    8.7.2 多种类型计数器的设计与实现

    第9章 存储器
    第10章 综合实例
    参考文献
查看详情
相关图书 / 更多
Verilog实例教程
Verilog HDL数字设计与综合(第二版)(本科教学版)
[美]Samir Palnitkar (萨米尔 · 帕尔尼卡
Verilog实例教程
VerilogHDL设计实用教程
黄海、于斌 编
Verilog实例教程
Verilog数字系统设计与FPGA应用(第2版)(MOOC版)
赵倩;叶波;邵洁;周多;林丽萍
Verilog实例教程
Vert.x实战
[法]于连·蓬热(Julien Ponge) 著;黄灰红 译
Verilog实例教程
Verilog HDL与CPLD/FPGA项目开发教程 第3版
聂章龙 周凌翱 主编
Verilog实例教程
VerilogHDL实验教程/理工科电子信息类DIY系列丛书
钱敏、曲波、黄旭、胡丹峰、黄秋萍 著
Verilog实例教程
Verilog HDL数字系统设计与验证 ——以太网交换机案例分析
乔庐峰
Verilog实例教程
Verilog HDL项目式教程
贺敬凯
Verilog实例教程
VerilogHDL与FPGA数字系统设计第2版
罗杰 著
Verilog实例教程
VerilogHDL算法与电路设计(通信和计算机网络典型案例)/电子信息前沿技术丛书
乔庐峰、陈庆华、晋军、续欣、赵彤 编
Verilog实例教程
Verilog HDL实用教程
王金明
Verilog实例教程
Verilog HDL设计实例手册
王金明
您可能感兴趣 / 更多
Verilog实例教程
传染病护理(数字案例版)
郭磊、李文卿 著
Verilog实例教程
审计学原理与实务(第2版)
郭磊、康蒙 编
Verilog实例教程
《审计学原理与实务(第2版)》习题册
郭磊、刁元元 编
Verilog实例教程
《微积分》学习指导(第3版高等财经院校“十三五”精品系列教材)
郭磊、脱秋菊、沈万芳 编
Verilog实例教程
高分子材料分析测试与研究方法(第二版)(陈厚)
郭磊、李桂英 著;陈厚、郭磊、李桂英 编
Verilog实例教程
产品效果图电脑表现技法
郭磊、张春红 著;赵竞、尹章伟、郭磊、张春红、副 编;副 主编
Verilog实例教程
审计学原理与实务
郭磊、李普玲 编
Verilog实例教程
新编政府会计
郭磊、郭玲 编著
Verilog实例教程
维吾尔族手鼓常用节奏荟萃
郭磊、龚小明、张文海 编著
Verilog实例教程
应用型大学英语视听说教程. 第二册
郭磊、王美娣本册 主编;贺春英 总主编
Verilog实例教程
形态与空间的数码表现
郭磊、王永波 编