基于CPLD/FPGA的数字通信系统建模与设计

基于CPLD/FPGA的数字通信系统建模与设计
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
2004-08
版次: 1
ISBN: 9787121002120
定价: 23.80
装帧: 平装
开本: 其他
纸张: 胶版纸
页数: 273页
字数: 461千字
20人买过
  •    本书主要介绍了基于CPLD/FPGA的数字通信系统的设计原理与建模方法。从通信系统的组成、EDA概述及建模的概念开始(第1~2章),围绕数字通信系统的VHDL设计与建模两条主线,讲述了常用基本电路的建模与VHDL编程设计(第3章),详细地介绍了数字通信基带信号的编译码、复接与分接、同步信号提取、数字通信基带和频带收发信系统、伪随机序列与误码检测等的原理、建模与VHDL编程设计方法(第4~9章)。全书主要是基于CPLD/FPGA芯片和利用VHDL语言实现对数字通信单元及系统的建模与设计。
      
      全书内容新颖,循序渐进,概念清晰,针对性和应用性强,既可作为高等院校通信与信息专业的高年级本科生教材或研究生的参考书,也可供科研人员及工程技术人员参考。 第1章  绪论

     1.1  通信系统概述

     1.2  EDA概述

     本章小结

     思考题与习题

    第2章  通信系统的VHDL建模

     2.1  引言

     2.2  数字通信系统的VHDL建模

     2.3  数字通信系统的VHDL建模的一般考虑

     2.4  通信系统的VHDL建模对系统性能的影响与评估

     本章小结

     思考题与习题

    第3章  常用基本电路模块的建模与设计

     3.1  引言

     3.2  基本组合逻辑电路的VHDL模型与设计

     3.3  基本时序逻辑电路的VHDL设计

     3.4  加法器的建模与设计

     3.5  乘法器的VHDL设计

     3.6  Max+plusII开发系统操作与应用举例

     本章小结

     思考题与习题

    第4章  基带信号的编、译码建模与设计

     4.1  引言

     4.2  关于基带信号的编码

     4.3  HDB3码的编、译码规则

     4.4  HDB3编码器的VHDL建模与程序设计

     4.5  HDB3编码器的VHDL建模与程序设计

     4.6  HDB3译码器的VHDL建模与程序设计

     4.7  关于其他形式基带信号的编码建模与设计

     本章小结

     思考题与习题

    第5章  数字复接技术及其建模与设计

     5.1  引言

     5.2  数字复接原理

     5.3  同步复接器的VHDL建模和设计举例

     5.4  同步复接器的VHDL总程序及仿真

     5.5  数字分接器原理

     5.6  同步分接器的VHDL建模举例

     5.7  同步分接器的VHDL设计举例

     本章小结

     思考题与习题

    第6章  同步技术与VHDL设计

     6.1  引言

     6.2  位同步

     6.3  载波同步

     6.4  帧同步

     本章小结

     思考题与习题

    第7章  数字通信基带系统的建模与设计

     7.1  引言

     7.2  含绝相变换器的基带系统的建模与设计

     7.3  HDB3编、译码器的基带系统的建模与设计

     7.4  多路信号复用的基带系统的建模与设计

     7.5  PPM(脉位调制)基带系统的建模与设计

     本章小结

     思考题与习题

    第8章  数字信号频带传输系统的建模与设计

     8.1  引言

     8.2  二进制振幅键控(ASK)的调制与解调

     8.3  二进制频移键控(FSK)调制与解调

     8.4  二进制相位键控(PSK)调制与解调

     8.5  多进制数字振幅调制(MASK)系统

     8.6  多进制数字频率调制(MFSK)系统

     8.7  多进制数字相位调制(MPSK)系统

     本章小结

     思考题与习题

    第9章  伪随机序列与误码检测原理、建模与设计

     9.1  引言

     9.2  伪随机序列及其产生原理与VHDL设计

     9.3  通信中的误码检测

     9.4  简单误码检测器的建模与设计举例

     9.5  简单误码检测器中主要单元模块的程序设计

     本章小结

     思考题与习题

    主要参考文献
  • 内容简介:
       本书主要介绍了基于CPLD/FPGA的数字通信系统的设计原理与建模方法。从通信系统的组成、EDA概述及建模的概念开始(第1~2章),围绕数字通信系统的VHDL设计与建模两条主线,讲述了常用基本电路的建模与VHDL编程设计(第3章),详细地介绍了数字通信基带信号的编译码、复接与分接、同步信号提取、数字通信基带和频带收发信系统、伪随机序列与误码检测等的原理、建模与VHDL编程设计方法(第4~9章)。全书主要是基于CPLD/FPGA芯片和利用VHDL语言实现对数字通信单元及系统的建模与设计。
      
      全书内容新颖,循序渐进,概念清晰,针对性和应用性强,既可作为高等院校通信与信息专业的高年级本科生教材或研究生的参考书,也可供科研人员及工程技术人员参考。
  • 目录:
    第1章  绪论

     1.1  通信系统概述

     1.2  EDA概述

     本章小结

     思考题与习题

    第2章  通信系统的VHDL建模

     2.1  引言

     2.2  数字通信系统的VHDL建模

     2.3  数字通信系统的VHDL建模的一般考虑

     2.4  通信系统的VHDL建模对系统性能的影响与评估

     本章小结

     思考题与习题

    第3章  常用基本电路模块的建模与设计

     3.1  引言

     3.2  基本组合逻辑电路的VHDL模型与设计

     3.3  基本时序逻辑电路的VHDL设计

     3.4  加法器的建模与设计

     3.5  乘法器的VHDL设计

     3.6  Max+plusII开发系统操作与应用举例

     本章小结

     思考题与习题

    第4章  基带信号的编、译码建模与设计

     4.1  引言

     4.2  关于基带信号的编码

     4.3  HDB3码的编、译码规则

     4.4  HDB3编码器的VHDL建模与程序设计

     4.5  HDB3编码器的VHDL建模与程序设计

     4.6  HDB3译码器的VHDL建模与程序设计

     4.7  关于其他形式基带信号的编码建模与设计

     本章小结

     思考题与习题

    第5章  数字复接技术及其建模与设计

     5.1  引言

     5.2  数字复接原理

     5.3  同步复接器的VHDL建模和设计举例

     5.4  同步复接器的VHDL总程序及仿真

     5.5  数字分接器原理

     5.6  同步分接器的VHDL建模举例

     5.7  同步分接器的VHDL设计举例

     本章小结

     思考题与习题

    第6章  同步技术与VHDL设计

     6.1  引言

     6.2  位同步

     6.3  载波同步

     6.4  帧同步

     本章小结

     思考题与习题

    第7章  数字通信基带系统的建模与设计

     7.1  引言

     7.2  含绝相变换器的基带系统的建模与设计

     7.3  HDB3编、译码器的基带系统的建模与设计

     7.4  多路信号复用的基带系统的建模与设计

     7.5  PPM(脉位调制)基带系统的建模与设计

     本章小结

     思考题与习题

    第8章  数字信号频带传输系统的建模与设计

     8.1  引言

     8.2  二进制振幅键控(ASK)的调制与解调

     8.3  二进制频移键控(FSK)调制与解调

     8.4  二进制相位键控(PSK)调制与解调

     8.5  多进制数字振幅调制(MASK)系统

     8.6  多进制数字频率调制(MFSK)系统

     8.7  多进制数字相位调制(MPSK)系统

     本章小结

     思考题与习题

    第9章  伪随机序列与误码检测原理、建模与设计

     9.1  引言

     9.2  伪随机序列及其产生原理与VHDL设计

     9.3  通信中的误码检测

     9.4  简单误码检测器的建模与设计举例

     9.5  简单误码检测器中主要单元模块的程序设计

     本章小结

     思考题与习题

    主要参考文献
查看详情
相关图书 / 更多
基于CPLD/FPGA的数字通信系统建模与设计
基于语料库的汉语方言俗语研究(开辟汉语言方言俗语研究的新思路。)
吴建生
基于CPLD/FPGA的数字通信系统建模与设计
基于量子计算的量子密码协议
石金晶
基于CPLD/FPGA的数字通信系统建模与设计
基于近邻思想和同步模型的聚类算法
陈新泉
基于CPLD/FPGA的数字通信系统建模与设计
基于MATLAB的电力电子技术和交直流调速系统仿真(第3版)
陈中、陈克伟、刘丹丹、段文勇
基于CPLD/FPGA的数字通信系统建模与设计
基于Python的GIS空间分析
高培超 谢一茹 叶思菁 宋长青/编著
基于CPLD/FPGA的数字通信系统建模与设计
基于遥感云计算的生态大数据平台建设:理论、方法与实践
董金玮等
基于CPLD/FPGA的数字通信系统建模与设计
基于协同演化过程视角的企业相关多元化发展研究
李章溢
基于CPLD/FPGA的数字通信系统建模与设计
基于R的语言研究多变量分析
吴诗玉
基于CPLD/FPGA的数字通信系统建模与设计
基于Agent的系统仿真导论 [美] 尤里·威伦斯基
(美) 尤里·威伦斯基 (美)威廉·兰特
基于CPLD/FPGA的数字通信系统建模与设计
基于新教材的高考数学研究
赵萍
基于CPLD/FPGA的数字通信系统建模与设计
基于机器学习的文本挖掘
扬·茨卡,弗朗齐歇克·达雷纳,阿尔诺斯特
基于CPLD/FPGA的数字通信系统建模与设计
基于项目教学的职业教育课程开发研究与实践
深圳职业技术大学
您可能感兴趣 / 更多
基于CPLD/FPGA的数字通信系统建模与设计
印制电路板(PCB)热设计
黄智伟
基于CPLD/FPGA的数字通信系统建模与设计
高速数字电路的电源分配网络(PDN)设计
黄智伟 著
基于CPLD/FPGA的数字通信系统建模与设计
印制电路板(PCB)设计技术与实践(第3版)
黄智伟 著
基于CPLD/FPGA的数字通信系统建模与设计
基于NI Multisim的电子电路计算机仿真设计与分析(第3版)
黄智伟 著
基于CPLD/FPGA的数字通信系统建模与设计
高速数字电路设计入门
黄智伟 编
基于CPLD/FPGA的数字通信系统建模与设计
混频器电路设计
黄智伟 编
基于CPLD/FPGA的数字通信系统建模与设计
基于NI Multisim的电子电路计算机仿真设计与分析
黄智伟 主编;邹其洪 副主编;李伟琦
基于CPLD/FPGA的数字通信系统建模与设计
通信电子电路
黄智伟 编
基于CPLD/FPGA的数字通信系统建模与设计
射频电路设计
黄智伟
基于CPLD/FPGA的数字通信系统建模与设计
单片无线收发集成电路原理与应用
黄智伟 编
基于CPLD/FPGA的数字通信系统建模与设计
全国大学生电子设计竞赛训练教程
黄智伟 主编
基于CPLD/FPGA的数字通信系统建模与设计
无线发射与接收电路设计
黄智伟