芯片设计——CMOS模拟集成电路设计与仿真实例:基于Cadence IC 617

芯片设计——CMOS模拟集成电路设计与仿真实例:基于Cadence IC 617
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
2023-04
版次: 1
ISBN: 9787111723066
定价: 109.00
装帧: 其他
开本: 16开
纸张: 胶版纸
页数: 260页
字数: 332千字
分类: 工程技术
9人买过
  • 本书介绍CMOS模拟与射频集成电路的基本知识,着重讲述了利用Cadence ADE软件进行集成电路设计的仿真方法和操作流程。本书包含多种集成电路中常见电路单元的实例分析,包括运算放大器、低噪声放大器、射频功率放大器、混频器、带隙基准源、模-数转换器等内容。
      本书注重选材,内容丰富,在基本概念和原理的基础上,通过实例分析详细讲述了CMOS模拟与射频集成电路关键单元的设计方法。本书为北京理工大学集成电路设计实践课程教材,并且可作为CMOS模拟与射频集成电路设计初学者,以及高等院校电子科学与技术、集成电路科学与工程等专业的学习用书,也可供从事微电子与集成电路领域的科研和工程技术人员参考。 前言

    第章CMOS模拟集成电路设计流程简介1

    1.1设计要求与方案选择2

    1.2交互式电路设计与仿真2

    1.3版图设计与验证3

    1.4芯片流片与测试5

    1.5本章小结7

    第章ADE仿真概述8

    2.1基本界面与操作8

    2.1.1软件启动8

    2.1.2库管理器11

    2.1.3电路图编辑器15

    2.1.4ADE仿真设置19

    2.1.5波形输出显示与计算22

    2.2实例分析:共源放大器31

    2.3本章小结37

    第章ADE仿真功能基础38

    3.1直流仿真38

    3.1.1直流仿真基本设置38

    3.1.2实例分析39

    3.2交流仿真46

    3.2.1交流仿真基本设置46

    3.2.2实例分析47

    3.3瞬态仿真50

    3.3.1瞬态仿真基本设置50

    3.3.2实例分析51

    3.4噪声仿真53

    3.4.1噪声仿真基本设置53

    3.4.2实例分析54

    3.5S参数仿真56

    3.5.1S参数仿真基本设置56

    3.5.2实例分析57

    3.6参数扫描62

    3.6.1参数扫描基本设置62

    3.6.2实例分析63

    3.7蒙特卡洛仿真65

    3.8本章小结73

    第章运算放大器74

    4.1运算放大器简介74

    4.1.1运算放大器概述74

    4.1.2常见运算放大器结构75

    4.2单级全差分折叠共源共栅运算放大器77

    4.2.1结构原理图和参数77

    4.2.2电路图绘制81

    4.2.3仿真验证85

    4.3闭环运算放大器98

    4.3.1开关电容积分器98

    4.3.2瞬态特性仿真和频率特性仿真98

    4.4本章小结103

    第章低噪声放大器104

    5.1低噪声放大器概述104

    5.1.1低噪声放大器性能参数104

    5.1.2低噪声放大器结构分类111

    5.2实例分析:S波段低噪声放大器114

    5.2.1电路搭建114

    5.2.2阻抗匹配及噪声系数仿真117

    5.2.3大信号噪声仿真122

    5.2.4稳定性仿真124

    5.2.5线性度仿真125

    5.3本章小结134

    第章射频功率放大器135

    6.1功率放大器概述135

    6.1.1功率放大器性能参数135

    6.1.2功率放大器类型136

    6.1.3负载线匹配137

    6.2实例分析:S波段功率放大器138

    6.2.1电路搭建138

    6.2.2电路参数仿真143

    6.2.3负载牵引效应及最佳负载阻抗的匹配152

    6.2.4指标测试及电路优化157

    6.3本章小结159

    第章混频器160

    7.1混频器设计概述160

    7.1.1混频器基本原理160

    7.1.2混频器性能参数161

    7.1.3混频器分类和常见结构162

    7.2实例分析:S波段Gilbert双平衡下变频混频器164

    7.2.1电路搭建164

    7.2.2谐波失真仿真170

    7.2.3噪声系数仿真174

    7.2.4转换增益仿真179

    7.2.5线性度仿真183

    7.3本章小结193

    第章带隙基准源194

    8.1带隙基准源概述194

    8.1.1带隙基准源性能参数194

    8.1.2带隙基准源的基本原理196

    8.2实例分析:带隙基准电压源201

    8.2.1电路搭建201

    8.2.2电路参数仿真208

    8.3本章小结220

    第章模-数转换器221

    9.1模-数转换器概述221

    9.1.1模-数转换器的基本原理221

    9.1.2模-数转换器的性能参数222

    9.1.3模-数转换器的电路结构225

    9.2实例分析1:并行式模-数转换器230

    9.2.1并行式模-数转换器设计与时域仿真230

    9.2.2并行式模-数转换器的频域仿真238

    9.3实例分析2:逐次逼近式模-数转换器240

    9.3.1逐次逼近式模-数转换器设计与时域仿真240

    9.3.2逐次逼近式模-数转换器的频域仿真248

    9.4本章小结249

    参考文献250
  • 内容简介:
    本书介绍CMOS模拟与射频集成电路的基本知识,着重讲述了利用Cadence ADE软件进行集成电路设计的仿真方法和操作流程。本书包含多种集成电路中常见电路单元的实例分析,包括运算放大器、低噪声放大器、射频功率放大器、混频器、带隙基准源、模-数转换器等内容。
      本书注重选材,内容丰富,在基本概念和原理的基础上,通过实例分析详细讲述了CMOS模拟与射频集成电路关键单元的设计方法。本书为北京理工大学集成电路设计实践课程教材,并且可作为CMOS模拟与射频集成电路设计初学者,以及高等院校电子科学与技术、集成电路科学与工程等专业的学习用书,也可供从事微电子与集成电路领域的科研和工程技术人员参考。
  • 目录:
    前言

    第章CMOS模拟集成电路设计流程简介1

    1.1设计要求与方案选择2

    1.2交互式电路设计与仿真2

    1.3版图设计与验证3

    1.4芯片流片与测试5

    1.5本章小结7

    第章ADE仿真概述8

    2.1基本界面与操作8

    2.1.1软件启动8

    2.1.2库管理器11

    2.1.3电路图编辑器15

    2.1.4ADE仿真设置19

    2.1.5波形输出显示与计算22

    2.2实例分析:共源放大器31

    2.3本章小结37

    第章ADE仿真功能基础38

    3.1直流仿真38

    3.1.1直流仿真基本设置38

    3.1.2实例分析39

    3.2交流仿真46

    3.2.1交流仿真基本设置46

    3.2.2实例分析47

    3.3瞬态仿真50

    3.3.1瞬态仿真基本设置50

    3.3.2实例分析51

    3.4噪声仿真53

    3.4.1噪声仿真基本设置53

    3.4.2实例分析54

    3.5S参数仿真56

    3.5.1S参数仿真基本设置56

    3.5.2实例分析57

    3.6参数扫描62

    3.6.1参数扫描基本设置62

    3.6.2实例分析63

    3.7蒙特卡洛仿真65

    3.8本章小结73

    第章运算放大器74

    4.1运算放大器简介74

    4.1.1运算放大器概述74

    4.1.2常见运算放大器结构75

    4.2单级全差分折叠共源共栅运算放大器77

    4.2.1结构原理图和参数77

    4.2.2电路图绘制81

    4.2.3仿真验证85

    4.3闭环运算放大器98

    4.3.1开关电容积分器98

    4.3.2瞬态特性仿真和频率特性仿真98

    4.4本章小结103

    第章低噪声放大器104

    5.1低噪声放大器概述104

    5.1.1低噪声放大器性能参数104

    5.1.2低噪声放大器结构分类111

    5.2实例分析:S波段低噪声放大器114

    5.2.1电路搭建114

    5.2.2阻抗匹配及噪声系数仿真117

    5.2.3大信号噪声仿真122

    5.2.4稳定性仿真124

    5.2.5线性度仿真125

    5.3本章小结134

    第章射频功率放大器135

    6.1功率放大器概述135

    6.1.1功率放大器性能参数135

    6.1.2功率放大器类型136

    6.1.3负载线匹配137

    6.2实例分析:S波段功率放大器138

    6.2.1电路搭建138

    6.2.2电路参数仿真143

    6.2.3负载牵引效应及最佳负载阻抗的匹配152

    6.2.4指标测试及电路优化157

    6.3本章小结159

    第章混频器160

    7.1混频器设计概述160

    7.1.1混频器基本原理160

    7.1.2混频器性能参数161

    7.1.3混频器分类和常见结构162

    7.2实例分析:S波段Gilbert双平衡下变频混频器164

    7.2.1电路搭建164

    7.2.2谐波失真仿真170

    7.2.3噪声系数仿真174

    7.2.4转换增益仿真179

    7.2.5线性度仿真183

    7.3本章小结193

    第章带隙基准源194

    8.1带隙基准源概述194

    8.1.1带隙基准源性能参数194

    8.1.2带隙基准源的基本原理196

    8.2实例分析:带隙基准电压源201

    8.2.1电路搭建201

    8.2.2电路参数仿真208

    8.3本章小结220

    第章模-数转换器221

    9.1模-数转换器概述221

    9.1.1模-数转换器的基本原理221

    9.1.2模-数转换器的性能参数222

    9.1.3模-数转换器的电路结构225

    9.2实例分析1:并行式模-数转换器230

    9.2.1并行式模-数转换器设计与时域仿真230

    9.2.2并行式模-数转换器的频域仿真238

    9.3实例分析2:逐次逼近式模-数转换器240

    9.3.1逐次逼近式模-数转换器设计与时域仿真240

    9.3.2逐次逼近式模-数转换器的频域仿真248

    9.4本章小结249

    参考文献250
查看详情