国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)

国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者: [土耳其] (Duran Leblebici) ,
出版社: 科学出版社
2011-06
版次: 1
ISBN: 9787030315199
定价: 60.00
装帧: 平装
开本: 16开
纸张: 胶版纸
页数: 302页
字数: 400千字
正文语种: 英语
分类: 工程技术
  • 《高频CMOS模拟集成电路基础(影印版)》以设计为核心理念从基础模拟电路讲述到射频集成电路的研发。系统地介绍了高频集成电路体系的构建与运行,重点讲解了晶体管级电路的工作体系,设备性能影响及伴随响应,以及时域和频域上的输入输出特性。
    《高频CMOS模拟集成电路基础(影印版)》适合电子信息专业的高年级本科生及研究生作为RFCMOS电路设计相关课程的教材使用,也适合模拟电路及射频电路工程师作为参考使用。 Preface
    1ComponentsofanalogCMOSICs
    1.1MOStransistors
    1.1.1Current-voltagerelationsofMOStransistors
    1.1.1.1Thebasiccurrent-voltagerelationswithoutvelocitysaturation
    1.1.1.2Current-voltagerelationsundervelocitysaturation
    1.1.1.3Thesub-thresholdregime
    1.1.2Determinationofmodelparametersandrelatedsecondaryeffects
    1.1.2.1Mobility
    1.1.2.2Gatecapacitance
    1.1.2.3Thresholdvoltage
    1.1.2.4Channellengthmodulationfactor
    1.1.2.5Gatelength(L)andgatewidth(W)
    1.1.3ParasiticsofMOStransistors
    1.1.3.1Parasiticcapacitances
    1.1.3.2Thehigh-frequencyfigureofmerit
    1.1.3.3Theparasiticresistances
    1.2Passiveon-chipcomponents
    1.2.1On-chipresistors
    1.2.2On-chipcapacitors
    1.2.2.1Passiveon-chipcapacitors
    1.2.2.2Varactors
    1.2.3On-chipinductors

    2BasicMOSamplifiers:DCandlow-frequencybehavior
    2.1Commonsource(groundedsource)amplifier
    2.1.1Biasing
    2.1.2Thesmall-signalequivalentcircuit
    2.2ActivetransistorloadedMOSamplifier(CMOSinverterasanalogamplifier)
    2.3Common-gate(grounded-gate)amplifier
    2.4Common-drainamplifier(sourcefollower)
    2.5Thelongtailedpair
    2.5.1Thelargesignalbehaviorofthelongtailedpair
    2.5.2Common-modefeedback

    3High-frequencybehaviorofbasicamplifiers
    3.1High-frequencybehaviorofacommon-sourceamplifier
    3.1.1TheR-Cloadcase
    3.2Thesourcefolloweramplifieratradiofrequencies
    3.3Thecommon-gateamplifierathighfrequencies
    3.4Thecascodeamplifier
    3.5TheCMOSinverterasatransimpedanceamplifier
    3.6MOStransistorwithsourcedegenerationathighfrequencies
    3.7High-frequencybehaviorofdifferentialamplifiers
    3.7.1TheR-Cloadedlongtailedpair
    3.7.2Thefullydifferential,current-mirrorloadedamplifier
    3.7.3Frequencyresponseofasingle-endedoutputlongtailedpair
    3.7.4Ontheinputandoutputadmittancesofthelongtailedpair
    3.8Gainenhancementtechniquesforhigh-frequencyamplifiers
    3.8.1Additiveapproach:distributedamplifiers
    3.8.2Cascadingstrategiesforbasicgainstages
    3.8.3Anexample:theCherry-Hooperamplifier

    4Frequency-selectiveRFcircuits
    4.1Resonancecircuits
    4.1.1Theparallelresonancecircuit
    4.1.1.1Thequalityfactorofaresonancecircuit
    4.1.1.2Thequalityfactorfromadifferentpointofview
    4.1.1.3TheQenhancement
    4.1.1.4Bandwidthofaparallelresonancecircuit
    4.1.1.5CurrentsofLandCbranchesofaparallelresonancecircuit
    4.1.2Theseriesresonancecircuit
    4.1.2.1Componentvoltagesinaseriesresonancecircuit
    4.2Tunedamplifiers
    4.2.1Thecommon-sot/rcetunedamplifier
    4.2.2Thitunedcascodeamplifier
    4.3Cascadedtunedstagesandthestaggeredtuning
    4.4Amplifiersloadedwithcoupledresonancecircuits
    4.4.1Magneticcoupling
    4.4.2Capacitivecoupling
    4.5Thegyrator:avaluabletooltorealizehigh-valueon-chipinductances
    4.5.1Parasiticsofanon-idealgyrator
    4.5.2Dynamicrangeofagyrat0r-basedinductor
    4.6Thelow-noiseamplifier(LNA)
    4.6.1Inputimpedancematching
    4.6.2BasiccircuitssuitableforLNAs
    4.6.3Noiseinamplifiers
    4.6.3.1Thermalnoiseofaresistor
    4.6.3.2ThermalnoiseofaMOStransistor
    4.6.4NoiseinLNAs
    4.6.5ThedifferentialLNA

    5L-Coscillators
    5.1ThenegativeresistanceapproachtoL-Coscillators
    5.2ThefeedbackapproachtoL-Coscillators
    5.3FrequencystabilityofL-Coscillators
    5.3.1Crystaloscillators
    5.3.2Thephase-locktechnique
    5.3.3Phasenoiseinoscillators

    6Analog-digitalinterfaceandsystem-leveldesignconsiderations
    6.1Generalobservations
    6.2Discrete-timesampling
    6.3Influenceofsamplingclockjitter
    6.4Quantizationnoise
    6.5Converterspecifications
    6.5.1Staticspecifications
    6.5.2Frequency-domaindynamicspecifications
    6.6Additionalobservationsonnoiseinhigh-frequencyICs
    AppendixAMobilitydegradationduetothetransversalfield
    AppendixBCharacteristiccurvesandparametersofAMS0.35micronNMOSandPMOStransistors
    AppendixCBSIM3-v3parametersofAMS0.35micronNMOSandPMOStransistors
    AppendixDCurrentsourcesandcurrentmirrors
    D.1DCcurrentsources
    D.2Frequencycharacteristicsofbasiccurrentmirrors
    D.2.1Frequencycharacteristicsfornormalsaturation
    D.2.2Frequencycharacteristicsundervelocitysaturation
    References
    Index
  • 内容简介:
    《高频CMOS模拟集成电路基础(影印版)》以设计为核心理念从基础模拟电路讲述到射频集成电路的研发。系统地介绍了高频集成电路体系的构建与运行,重点讲解了晶体管级电路的工作体系,设备性能影响及伴随响应,以及时域和频域上的输入输出特性。
    《高频CMOS模拟集成电路基础(影印版)》适合电子信息专业的高年级本科生及研究生作为RFCMOS电路设计相关课程的教材使用,也适合模拟电路及射频电路工程师作为参考使用。
  • 目录:
    Preface
    1ComponentsofanalogCMOSICs
    1.1MOStransistors
    1.1.1Current-voltagerelationsofMOStransistors
    1.1.1.1Thebasiccurrent-voltagerelationswithoutvelocitysaturation
    1.1.1.2Current-voltagerelationsundervelocitysaturation
    1.1.1.3Thesub-thresholdregime
    1.1.2Determinationofmodelparametersandrelatedsecondaryeffects
    1.1.2.1Mobility
    1.1.2.2Gatecapacitance
    1.1.2.3Thresholdvoltage
    1.1.2.4Channellengthmodulationfactor
    1.1.2.5Gatelength(L)andgatewidth(W)
    1.1.3ParasiticsofMOStransistors
    1.1.3.1Parasiticcapacitances
    1.1.3.2Thehigh-frequencyfigureofmerit
    1.1.3.3Theparasiticresistances
    1.2Passiveon-chipcomponents
    1.2.1On-chipresistors
    1.2.2On-chipcapacitors
    1.2.2.1Passiveon-chipcapacitors
    1.2.2.2Varactors
    1.2.3On-chipinductors

    2BasicMOSamplifiers:DCandlow-frequencybehavior
    2.1Commonsource(groundedsource)amplifier
    2.1.1Biasing
    2.1.2Thesmall-signalequivalentcircuit
    2.2ActivetransistorloadedMOSamplifier(CMOSinverterasanalogamplifier)
    2.3Common-gate(grounded-gate)amplifier
    2.4Common-drainamplifier(sourcefollower)
    2.5Thelongtailedpair
    2.5.1Thelargesignalbehaviorofthelongtailedpair
    2.5.2Common-modefeedback

    3High-frequencybehaviorofbasicamplifiers
    3.1High-frequencybehaviorofacommon-sourceamplifier
    3.1.1TheR-Cloadcase
    3.2Thesourcefolloweramplifieratradiofrequencies
    3.3Thecommon-gateamplifierathighfrequencies
    3.4Thecascodeamplifier
    3.5TheCMOSinverterasatransimpedanceamplifier
    3.6MOStransistorwithsourcedegenerationathighfrequencies
    3.7High-frequencybehaviorofdifferentialamplifiers
    3.7.1TheR-Cloadedlongtailedpair
    3.7.2Thefullydifferential,current-mirrorloadedamplifier
    3.7.3Frequencyresponseofasingle-endedoutputlongtailedpair
    3.7.4Ontheinputandoutputadmittancesofthelongtailedpair
    3.8Gainenhancementtechniquesforhigh-frequencyamplifiers
    3.8.1Additiveapproach:distributedamplifiers
    3.8.2Cascadingstrategiesforbasicgainstages
    3.8.3Anexample:theCherry-Hooperamplifier

    4Frequency-selectiveRFcircuits
    4.1Resonancecircuits
    4.1.1Theparallelresonancecircuit
    4.1.1.1Thequalityfactorofaresonancecircuit
    4.1.1.2Thequalityfactorfromadifferentpointofview
    4.1.1.3TheQenhancement
    4.1.1.4Bandwidthofaparallelresonancecircuit
    4.1.1.5CurrentsofLandCbranchesofaparallelresonancecircuit
    4.1.2Theseriesresonancecircuit
    4.1.2.1Componentvoltagesinaseriesresonancecircuit
    4.2Tunedamplifiers
    4.2.1Thecommon-sot/rcetunedamplifier
    4.2.2Thitunedcascodeamplifier
    4.3Cascadedtunedstagesandthestaggeredtuning
    4.4Amplifiersloadedwithcoupledresonancecircuits
    4.4.1Magneticcoupling
    4.4.2Capacitivecoupling
    4.5Thegyrator:avaluabletooltorealizehigh-valueon-chipinductances
    4.5.1Parasiticsofanon-idealgyrator
    4.5.2Dynamicrangeofagyrat0r-basedinductor
    4.6Thelow-noiseamplifier(LNA)
    4.6.1Inputimpedancematching
    4.6.2BasiccircuitssuitableforLNAs
    4.6.3Noiseinamplifiers
    4.6.3.1Thermalnoiseofaresistor
    4.6.3.2ThermalnoiseofaMOStransistor
    4.6.4NoiseinLNAs
    4.6.5ThedifferentialLNA

    5L-Coscillators
    5.1ThenegativeresistanceapproachtoL-Coscillators
    5.2ThefeedbackapproachtoL-Coscillators
    5.3FrequencystabilityofL-Coscillators
    5.3.1Crystaloscillators
    5.3.2Thephase-locktechnique
    5.3.3Phasenoiseinoscillators

    6Analog-digitalinterfaceandsystem-leveldesignconsiderations
    6.1Generalobservations
    6.2Discrete-timesampling
    6.3Influenceofsamplingclockjitter
    6.4Quantizationnoise
    6.5Converterspecifications
    6.5.1Staticspecifications
    6.5.2Frequency-domaindynamicspecifications
    6.6Additionalobservationsonnoiseinhigh-frequencyICs
    AppendixAMobilitydegradationduetothetransversalfield
    AppendixBCharacteristiccurvesandparametersofAMS0.35micronNMOSandPMOStransistors
    AppendixCBSIM3-v3parametersofAMS0.35micronNMOSandPMOStransistors
    AppendixDCurrentsourcesandcurrentmirrors
    D.1DCcurrentsources
    D.2Frequencycharacteristicsofbasiccurrentmirrors
    D.2.1Frequencycharacteristicsfornormalsaturation
    D.2.2Frequencycharacteristicsundervelocitysaturation
    References
    Index
查看详情
您可能感兴趣 / 更多
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外志愿服务
中国志愿服务联合会;中国志愿服务基金会
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理组织机构研究/放射性废物管理立法研究丛书
刘新华 编
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外军民两用计划实施方式研究
作者
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理法律概述(加拿大 德国)
刘新华
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外典型智库研究
孙德翔 著
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外全民阅读活动现状与经验研究
魏玉山
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外军用飞机的发展及其技术
韩非非 著;马高山
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外罪犯矫正制度概论
翟中东
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理法律概述(法国)
刘新华
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外马克思主义符号学美学的本土化研究
匡存玖
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外汽车排放检验与维修制度
《蓝天保卫战:在用汽车排放超标控制技术丛书》编写组 编
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外节水实践
本书编委会
系列丛书 / 更多
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外志愿服务
中国志愿服务联合会;中国志愿服务基金会
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理组织机构研究/放射性废物管理立法研究丛书
刘新华 编
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外军民两用计划实施方式研究
作者
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理法律概述(加拿大 德国)
刘新华
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外典型智库研究
孙德翔 著
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外全民阅读活动现状与经验研究
魏玉山
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外军用飞机的发展及其技术
韩非非 著;马高山
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外罪犯矫正制度概论
翟中东
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理法律概述(法国)
刘新华
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外马克思主义符号学美学的本土化研究
匡存玖
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外汽车排放检验与维修制度
《蓝天保卫战:在用汽车排放超标控制技术丛书》编写组 编
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外节水实践
本书编委会
相关图书 / 更多
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外志愿服务
中国志愿服务联合会;中国志愿服务基金会
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理组织机构研究/放射性废物管理立法研究丛书
刘新华 编
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外军民两用计划实施方式研究
作者
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理法律概述(加拿大 德国)
刘新华
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外典型智库研究
孙德翔 著
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外全民阅读活动现状与经验研究
魏玉山
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外军用飞机的发展及其技术
韩非非 著;马高山
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外罪犯矫正制度概论
翟中东
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外放射性废物管理法律概述(法国)
刘新华
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外马克思主义符号学美学的本土化研究
匡存玖
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外汽车排放检验与维修制度
《蓝天保卫战:在用汽车排放超标控制技术丛书》编写组 编
国外电子信息精品著作:高频CMOS模拟集成电路基础(影印版)
国外节水实践
本书编委会