普通高等教育“十二五”规划教材·电子信息科学与工程类专业规划教材:EDA技术与应用(第3版)

普通高等教育“十二五”规划教材·电子信息科学与工程类专业规划教材:EDA技术与应用(第3版)
分享
扫描下方二维码分享到微信
打开微信,点击右上角”+“,
使用”扫一扫“即可将网页分享到朋友圈。
作者:
2010-04
版次: 3
ISBN: 9787121104756
定价: 36.00
装帧: 平装
开本: 16开
纸张: 胶版纸
页数: 307页
字数: 512千字
正文语种: 简体中文
3人买过
  • 《普通高等教育“十二五”规划教材·电子信息科学与工程类专业规划教材:EDA技术与应用(第3版)》共7章,包括EDA技术概述、EDA工具软件的使用方法、VHDL、VerilogHDL、常用EDA工具软件、可编程逻辑器件和EDA技术的应用。另外,附录部分介绍伟福EDA6000和友晶DE2等EDA实验开发系统的使用方法,供具有不同实验设备的读者学习或参考。 第1章EDA技术概述
    1.1EDA技术及发展
    1.2EDA设计流程
    1.2.1设计准备
    1.2.2设计输入
    1.2.3设计处理
    1.2.4设计校验
    1.2.5器件编程
    1.2.6器件测试和设计验证
    1.3硬件描述语言
    1.3.1VHDL
    1.3.2VerilogHDL
    1.3.3AHDL
    1.4可编程逻辑器件
    1.5常用EDA工具
    1.5.1设计输入编辑器
    1.5.2仿真器
    1.5.3HDL综合器
    1.5.4适配器(布局布线器)
    1.5.5下载器(编程器)
    本章小结
    思考题和习题

    第2章EDA工具软件的使用方法
    2.1QuartusII软件的安装
    2.2QuartusII软件的主界面
    2.3QuartusII的图形编辑输入法
    2.3.1编辑输入图形设计文件
    2.3.2编译设计文件
    2.3.3仿真设计文件
    2.3.4编程下载设计文件
    2.4MAX+PLUSII设计工程的转换
    2.5QuartusII宏功能模块的使用方法
    2.5.1设计原理
    2.5.2编辑输入顶层设计文件
    2.5.3仿真顶层设计文件
    2.5.4硬件验证与测试
    2.5.5图形文件的转换
    2.6嵌入式逻辑分析仪的使用方法
    2.6.1打开SignalTapII编辑窗口
    2.6.2调入节点信号
    2.6.3参数设置
    2.6.4文件存盘
    2.6.5编译与下载
    2.6.6运行分析
    2.7嵌入式锁相环宏功能模块的使用方法
    2.8设计优化
    2.8.1面积与速度的优化
    2.8.2时序约束与选项设置
    2.8.3Fitter设置
    2.9QuartusII的RTL阅读器
    本章小结
    思考题和习题

    第3章VHDL
    3.1VHDL设计实体的基本结构
    3.1.1库、程序包
    3.1.2实体
    3.1.3结构体
    3.1.4配置
    3.1.5基本逻辑器件的VHDL描述
    3.2VHDL语言要素
    3.2.1VHDL文字规则
    3.2.2VHDL数据对象
    3.2.3VHDL数据类型
    3.2.4VHDL的预定义数据类型
    3.2.5IEEE预定义的标准逻辑位和矢量
    3.2.6用户自定义数据类型方式
    3.2.7VHDL操作符
    3.2.8VHDL的属性
    3.3VHDL的顺序语句
    3.3.1赋值语句
    3.3.2流程控制语句
    3.3.3WAIT语句
    3.3.4ASSERT(断言)语句
    3.3.5NULL(空操作)语句
    3.4并行语句
    3.4.1PROCESS(进程)语句
    3.4.2块语句
    3.4.3并行信号赋值语句
    3.4.4子程序和并行过程调用语句
    3.4.5元件例化(COMPONENT)语句
    3.4.6生成语句
    3.5VHDL的库和程序包
    3.5.1VHDL库
    3.5.2VHDL程序包
    3.6VHDL设计流程
    3.6.1编辑VHDL源程序
    3.6.2设计8位计数显示译码电路顶层文件
    3.6.3编译顶层设计文件
    3.6.4仿真顶层设计文件
    3.6.5下载顶层设计文件
    3.7VHDL仿真
    3.7.1VHDL仿真支持语句
    3.7.2VHDL测试平台软件的设计
    本章小结
    思考题和习题

    第4章VerilogHDL
    4.1VerilogHDL设计模块的基本结构
    4.1.1模块端口定义
    4.1.2模块内容
    4.2VerilogHDL的词法
    4.2.1空白符和注释
    4.2.2常数
    4.2.3字符串
    4.2.4关键词
    4.2.5标识符
    4.2.6操作符
    4.2.7VerilogHDL数据对象
    4.3VerilogHDL的语句
    4.3.1赋值语句
    4.3.2条件语句
    4.3.3循环语句
    4.3.4结构声明语句
    4.3.5语句的顺序执行与并行执行
    4.4不同抽象级别的VerilogHDL模型
    4.4.1VerilogHDL门级描述
    4.4.2VerilogHDL的行为级描述
    4.4.3用结构描述实现电路系统设计
    4.5VerilogHDL设计流程
    4.5.1编辑VerilogHDL源程序
    4.5.2设计BCD加法器电路顶层文件
    4.5.3编译顶层设计文件
    4.5.4仿真顶层设计文件
    4.5.5下载顶层设计文件
    4.6VerilogHDL仿真
    4.6.1VerilogHDL仿真支持语句
    4.6.2VerilogHDL测试平台软件的设计
    本章小结
    思考题和习题

    第5章常用EDA工具软件
    5.1ModelSim
    5.1.1ModelSim的使用方法
    5.1.2ModelSim与QuartusII的接口
    5.1.3ModelSim交互命令方式仿真
    5.1.4ModelSim批处理工作方式
    5.2基于Matlab/DSPBuilder的DSP模块设计
    5.2.1设计原理
    5.2.2建立Matlab设计模型
    5.2.3Matlab模型仿真
    5.2.4SignalCompiler使用方法
    5.2.5使用Modelsim进行RTL级仿真
    5.2.6使用QuartusII实现时序仿真
    5.2.7硬件实现与测试
    5.2.8DSPBuilder的层次设计
    5.3NiosII嵌入式系统开发软件
    5.3.1NiosII的硬件开发
    5.3.2调整SDRAM地址
    5.3.3生成NiosII硬件系统
    5.3.4NiosII系统的调试
    5.3.5NiosII的常用组件与编程
    5.3.6SOPC的应用
    本章小结
    思考题和习题

    第6章可编程逻辑器件
    6.1PLD的基本原理
    6.1.1PLD的分类
    6.1.2阵列型PLD
    6.1.3现场可编程门阵列FPGA
    6.1.4基于查找表(LUT)的结构
    6.2PLD的设计技术
    6.2.1PLD的设计方法
    6.2.2在系统可编程技术
    6.2.3边界扫描技术
    6.3PLD的编程与配置
    6.3.1CPLD的ISP方式编程
    6.3.2使用PC的并口配置FPGA
    本章小结
    思考题和习题

    第7章EDA技术的应用
    7.1组合逻辑电路设计应用
    7.1.1运算电路设计
    7.1.2编码器设计
    7.1.3译码器设计
    7.1.4数据选择器设计
    7.1.5数据比较器设计
    7.1.6ROM的设计
    7.2时序逻辑电路设计应用
    7.2.1触发器设计
    7.2.2锁存器设计
    7.2.3移位寄存器设计
    7.2.4计数器设计
    7.2.5随机读写存储器RAM的设计
    7.3基于EDA的数字系统设计
    7.3.1计时器的设计
    7.3.2万年历的设计
    7.3.38位十进制频率计设计
    本章小结
    思考题和习题

    附录AEDA6000实验开发系统
    A.1EDA6000的特点
    A.2EDA6000的使用方法
    A.2.1EDA6000的硬件结构
    A.2.2EDA6000软件平台的使用方法
    附录BAlteraDE2开发板使用方法
    B.1AlteraDE2开发板的结构
    B.2DE2开发板的实验模式与目标芯片的引脚连接
    B.3DE2开发板实验的操作
    B.3.1编辑
    B.3.2编译
    B.3.3仿真
    B.3.4引脚锁定
    B.3.5编程下载
    B.3.6硬件验证
    B.4DE2开发板的控制嵌板
    B.4.1打开控制嵌板
    B.4.2设备检测
    附录CQuartusII的宏函数和强函数
    C.1宏函数
    C.2强函数
    参考文献
  • 内容简介:
    《普通高等教育“十二五”规划教材·电子信息科学与工程类专业规划教材:EDA技术与应用(第3版)》共7章,包括EDA技术概述、EDA工具软件的使用方法、VHDL、VerilogHDL、常用EDA工具软件、可编程逻辑器件和EDA技术的应用。另外,附录部分介绍伟福EDA6000和友晶DE2等EDA实验开发系统的使用方法,供具有不同实验设备的读者学习或参考。
  • 目录:
    第1章EDA技术概述
    1.1EDA技术及发展
    1.2EDA设计流程
    1.2.1设计准备
    1.2.2设计输入
    1.2.3设计处理
    1.2.4设计校验
    1.2.5器件编程
    1.2.6器件测试和设计验证
    1.3硬件描述语言
    1.3.1VHDL
    1.3.2VerilogHDL
    1.3.3AHDL
    1.4可编程逻辑器件
    1.5常用EDA工具
    1.5.1设计输入编辑器
    1.5.2仿真器
    1.5.3HDL综合器
    1.5.4适配器(布局布线器)
    1.5.5下载器(编程器)
    本章小结
    思考题和习题

    第2章EDA工具软件的使用方法
    2.1QuartusII软件的安装
    2.2QuartusII软件的主界面
    2.3QuartusII的图形编辑输入法
    2.3.1编辑输入图形设计文件
    2.3.2编译设计文件
    2.3.3仿真设计文件
    2.3.4编程下载设计文件
    2.4MAX+PLUSII设计工程的转换
    2.5QuartusII宏功能模块的使用方法
    2.5.1设计原理
    2.5.2编辑输入顶层设计文件
    2.5.3仿真顶层设计文件
    2.5.4硬件验证与测试
    2.5.5图形文件的转换
    2.6嵌入式逻辑分析仪的使用方法
    2.6.1打开SignalTapII编辑窗口
    2.6.2调入节点信号
    2.6.3参数设置
    2.6.4文件存盘
    2.6.5编译与下载
    2.6.6运行分析
    2.7嵌入式锁相环宏功能模块的使用方法
    2.8设计优化
    2.8.1面积与速度的优化
    2.8.2时序约束与选项设置
    2.8.3Fitter设置
    2.9QuartusII的RTL阅读器
    本章小结
    思考题和习题

    第3章VHDL
    3.1VHDL设计实体的基本结构
    3.1.1库、程序包
    3.1.2实体
    3.1.3结构体
    3.1.4配置
    3.1.5基本逻辑器件的VHDL描述
    3.2VHDL语言要素
    3.2.1VHDL文字规则
    3.2.2VHDL数据对象
    3.2.3VHDL数据类型
    3.2.4VHDL的预定义数据类型
    3.2.5IEEE预定义的标准逻辑位和矢量
    3.2.6用户自定义数据类型方式
    3.2.7VHDL操作符
    3.2.8VHDL的属性
    3.3VHDL的顺序语句
    3.3.1赋值语句
    3.3.2流程控制语句
    3.3.3WAIT语句
    3.3.4ASSERT(断言)语句
    3.3.5NULL(空操作)语句
    3.4并行语句
    3.4.1PROCESS(进程)语句
    3.4.2块语句
    3.4.3并行信号赋值语句
    3.4.4子程序和并行过程调用语句
    3.4.5元件例化(COMPONENT)语句
    3.4.6生成语句
    3.5VHDL的库和程序包
    3.5.1VHDL库
    3.5.2VHDL程序包
    3.6VHDL设计流程
    3.6.1编辑VHDL源程序
    3.6.2设计8位计数显示译码电路顶层文件
    3.6.3编译顶层设计文件
    3.6.4仿真顶层设计文件
    3.6.5下载顶层设计文件
    3.7VHDL仿真
    3.7.1VHDL仿真支持语句
    3.7.2VHDL测试平台软件的设计
    本章小结
    思考题和习题

    第4章VerilogHDL
    4.1VerilogHDL设计模块的基本结构
    4.1.1模块端口定义
    4.1.2模块内容
    4.2VerilogHDL的词法
    4.2.1空白符和注释
    4.2.2常数
    4.2.3字符串
    4.2.4关键词
    4.2.5标识符
    4.2.6操作符
    4.2.7VerilogHDL数据对象
    4.3VerilogHDL的语句
    4.3.1赋值语句
    4.3.2条件语句
    4.3.3循环语句
    4.3.4结构声明语句
    4.3.5语句的顺序执行与并行执行
    4.4不同抽象级别的VerilogHDL模型
    4.4.1VerilogHDL门级描述
    4.4.2VerilogHDL的行为级描述
    4.4.3用结构描述实现电路系统设计
    4.5VerilogHDL设计流程
    4.5.1编辑VerilogHDL源程序
    4.5.2设计BCD加法器电路顶层文件
    4.5.3编译顶层设计文件
    4.5.4仿真顶层设计文件
    4.5.5下载顶层设计文件
    4.6VerilogHDL仿真
    4.6.1VerilogHDL仿真支持语句
    4.6.2VerilogHDL测试平台软件的设计
    本章小结
    思考题和习题

    第5章常用EDA工具软件
    5.1ModelSim
    5.1.1ModelSim的使用方法
    5.1.2ModelSim与QuartusII的接口
    5.1.3ModelSim交互命令方式仿真
    5.1.4ModelSim批处理工作方式
    5.2基于Matlab/DSPBuilder的DSP模块设计
    5.2.1设计原理
    5.2.2建立Matlab设计模型
    5.2.3Matlab模型仿真
    5.2.4SignalCompiler使用方法
    5.2.5使用Modelsim进行RTL级仿真
    5.2.6使用QuartusII实现时序仿真
    5.2.7硬件实现与测试
    5.2.8DSPBuilder的层次设计
    5.3NiosII嵌入式系统开发软件
    5.3.1NiosII的硬件开发
    5.3.2调整SDRAM地址
    5.3.3生成NiosII硬件系统
    5.3.4NiosII系统的调试
    5.3.5NiosII的常用组件与编程
    5.3.6SOPC的应用
    本章小结
    思考题和习题

    第6章可编程逻辑器件
    6.1PLD的基本原理
    6.1.1PLD的分类
    6.1.2阵列型PLD
    6.1.3现场可编程门阵列FPGA
    6.1.4基于查找表(LUT)的结构
    6.2PLD的设计技术
    6.2.1PLD的设计方法
    6.2.2在系统可编程技术
    6.2.3边界扫描技术
    6.3PLD的编程与配置
    6.3.1CPLD的ISP方式编程
    6.3.2使用PC的并口配置FPGA
    本章小结
    思考题和习题

    第7章EDA技术的应用
    7.1组合逻辑电路设计应用
    7.1.1运算电路设计
    7.1.2编码器设计
    7.1.3译码器设计
    7.1.4数据选择器设计
    7.1.5数据比较器设计
    7.1.6ROM的设计
    7.2时序逻辑电路设计应用
    7.2.1触发器设计
    7.2.2锁存器设计
    7.2.3移位寄存器设计
    7.2.4计数器设计
    7.2.5随机读写存储器RAM的设计
    7.3基于EDA的数字系统设计
    7.3.1计时器的设计
    7.3.2万年历的设计
    7.3.38位十进制频率计设计
    本章小结
    思考题和习题

    附录AEDA6000实验开发系统
    A.1EDA6000的特点
    A.2EDA6000的使用方法
    A.2.1EDA6000的硬件结构
    A.2.2EDA6000软件平台的使用方法
    附录BAlteraDE2开发板使用方法
    B.1AlteraDE2开发板的结构
    B.2DE2开发板的实验模式与目标芯片的引脚连接
    B.3DE2开发板实验的操作
    B.3.1编辑
    B.3.2编译
    B.3.3仿真
    B.3.4引脚锁定
    B.3.5编程下载
    B.3.6硬件验证
    B.4DE2开发板的控制嵌板
    B.4.1打开控制嵌板
    B.4.2设备检测
    附录CQuartusII的宏函数和强函数
    C.1宏函数
    C.2强函数
    参考文献
查看详情